aboutsummaryrefslogtreecommitdiffstats
path: root/tests/verilog/macro_arg_tromp.ys
diff options
context:
space:
mode:
authorZachary Snow <zach@zachjs.com>2021-07-15 10:36:50 -0400
committerZachary Snow <zachary.j.snow@gmail.com>2021-07-28 21:52:16 -0400
commit3156226233133f5da9dba15c63ca560b4794b831 (patch)
tree9d45120e6b8554df2c1b1f71cf90ea0fc124f270 /tests/verilog/macro_arg_tromp.ys
parenta055145b95b08bc97f3e3ee27842576d8ea09a94 (diff)
downloadyosys-3156226233133f5da9dba15c63ca560b4794b831.tar.gz
yosys-3156226233133f5da9dba15c63ca560b4794b831.tar.bz2
yosys-3156226233133f5da9dba15c63ca560b4794b831.zip
verilog: save and restore overwritten macro arguments
Diffstat (limited to 'tests/verilog/macro_arg_tromp.ys')
-rw-r--r--tests/verilog/macro_arg_tromp.ys2
1 files changed, 2 insertions, 0 deletions
diff --git a/tests/verilog/macro_arg_tromp.ys b/tests/verilog/macro_arg_tromp.ys
new file mode 100644
index 000000000..e8bd58e9b
--- /dev/null
+++ b/tests/verilog/macro_arg_tromp.ys
@@ -0,0 +1,2 @@
+logger -expect-no-warnings
+read_verilog -sv macro_arg_tromp.sv