aboutsummaryrefslogtreecommitdiffstats
path: root/tests/verilog/bug2042.ys
diff options
context:
space:
mode:
authorEddie Hung <eddie@fpgeh.com>2020-05-11 11:05:19 -0700
committerEddie Hung <eddie@fpgeh.com>2020-05-11 11:05:19 -0700
commite5ce5a4fd532f35cf8dd625b97aa426e4661e119 (patch)
treee356805f8c9bbba07f1ada2529de3e0a7c5e51b8 /tests/verilog/bug2042.ys
parentb11cf67a8170ee830beedadc7156c4e83e4f1134 (diff)
downloadyosys-e5ce5a4fd532f35cf8dd625b97aa426e4661e119.tar.gz
yosys-e5ce5a4fd532f35cf8dd625b97aa426e4661e119.tar.bz2
yosys-e5ce5a4fd532f35cf8dd625b97aa426e4661e119.zip
tests: add #2042 testcase
Diffstat (limited to 'tests/verilog/bug2042.ys')
-rw-r--r--tests/verilog/bug2042.ys12
1 files changed, 12 insertions, 0 deletions
diff --git a/tests/verilog/bug2042.ys b/tests/verilog/bug2042.ys
new file mode 100644
index 000000000..009e2c20c
--- /dev/null
+++ b/tests/verilog/bug2042.ys
@@ -0,0 +1,12 @@
+logger -expect error "Non-ANSI style task/function arguments not currently supported" 1
+read_verilog <<EOT
+module Task_Test_Top
+(
+);
+
+ task SomeTaskName(a)
+ endtask
+
+endmodule
+EOT
+