aboutsummaryrefslogtreecommitdiffstats
path: root/tests/various
diff options
context:
space:
mode:
authorJannis Harder <me@jix.one>2022-06-07 17:37:04 +0200
committerJannis Harder <me@jix.one>2022-06-07 19:06:45 +0200
commitac22f1764d5a9f8dbe70f70b1acf6b7dc65c1ced (patch)
tree868aaa50b1f43b38daa915f141bdada025dfd5d2 /tests/various
parent5f9a97d2342f1d3956f07c88c619bc88b43c8a1f (diff)
downloadyosys-ac22f1764d5a9f8dbe70f70b1acf6b7dc65c1ced.tar.gz
yosys-ac22f1764d5a9f8dbe70f70b1acf6b7dc65c1ced.tar.bz2
yosys-ac22f1764d5a9f8dbe70f70b1acf6b7dc65c1ced.zip
smt2: emit smtlib2_comb_expr outputs after all inputs
Diffstat (limited to 'tests/various')
-rw-r--r--tests/various/smtlib2_module-expected.smt210
-rwxr-xr-xtests/various/smtlib2_module.sh2
2 files changed, 6 insertions, 6 deletions
diff --git a/tests/various/smtlib2_module-expected.smt2 b/tests/various/smtlib2_module-expected.smt2
index bb869c08a..ace858ca8 100644
--- a/tests/various/smtlib2_module-expected.smt2
+++ b/tests/various/smtlib2_module-expected.smt2
@@ -5,6 +5,9 @@
(declare-fun |smtlib2#0| (|smtlib2_s|) (_ BitVec 8)) ; \a
; yosys-smt2-input a 8
(define-fun |smtlib2_n a| ((state |smtlib2_s|)) (_ BitVec 8) (|smtlib2#0| state))
+(declare-fun |smtlib2#1| (|smtlib2_s|) (_ BitVec 8)) ; \b
+; yosys-smt2-input b 8
+(define-fun |smtlib2_n b| ((state |smtlib2_s|)) (_ BitVec 8) (|smtlib2#1| state))
; yosys-smt2-output add 8
(define-fun |smtlib2_n add| ((state |smtlib2_s|)) (_ BitVec 8) (let (
(|a| (|smtlib2_n a| state))
@@ -12,9 +15,6 @@
)
(bvadd a b)
))
-(declare-fun |smtlib2#1| (|smtlib2_s|) (_ BitVec 8)) ; \b
-; yosys-smt2-input b 8
-(define-fun |smtlib2_n b| ((state |smtlib2_s|)) (_ BitVec 8) (|smtlib2#1| state))
; yosys-smt2-output eq 1
(define-fun |smtlib2_n eq| ((state |smtlib2_s|)) Bool (let (
(|a| (|smtlib2_n a| state))
@@ -64,10 +64,10 @@
(|uut_a 2| state)
(|smtlib2_a| (|uut_h s| state))
))
-(define-fun |uut_u| ((state |uut_s|)) Bool
+(define-fun |uut_u| ((state |uut_s|)) Bool
(|smtlib2_u| (|uut_h s| state))
)
-(define-fun |uut_i| ((state |uut_s|)) Bool
+(define-fun |uut_i| ((state |uut_s|)) Bool
(|smtlib2_i| (|uut_h s| state))
)
(define-fun |uut_h| ((state |uut_s|)) Bool (and
diff --git a/tests/various/smtlib2_module.sh b/tests/various/smtlib2_module.sh
index 9b2f24f9f..491f65148 100755
--- a/tests/various/smtlib2_module.sh
+++ b/tests/various/smtlib2_module.sh
@@ -1,5 +1,5 @@
#!/bin/bash
set -ex
../../yosys -q -p 'read_verilog -formal smtlib2_module.v; prep; write_smt2 smtlib2_module.smt2'
-sed 's/; SMT-LIBv2 description generated by Yosys .*/; SMT-LIBv2 description generated by Yosys $VERSION/' smtlib2_module.smt2 > smtlib2_module-filtered.smt2
+sed 's/; SMT-LIBv2 description generated by Yosys .*/; SMT-LIBv2 description generated by Yosys $VERSION/;s/ *$//' smtlib2_module.smt2 > smtlib2_module-filtered.smt2
diff -au smtlib2_module-expected.smt2 smtlib2_module-filtered.smt2