aboutsummaryrefslogtreecommitdiffstats
path: root/tests/various
diff options
context:
space:
mode:
authorwhitequark <whitequark@whitequark.org>2020-07-09 18:13:04 +0000
committerwhitequark <whitequark@whitequark.org>2020-07-09 19:36:32 +0000
commit9c120b89ace6c111aa4677616947d18d980b9c1a (patch)
tree3ab40b1b0e5c97ce686993d9cb28b34662bc4740 /tests/various
parentf313211c3232a805b63687e04fdbe541ef76f5dd (diff)
downloadyosys-9c120b89ace6c111aa4677616947d18d980b9c1a.tar.gz
yosys-9c120b89ace6c111aa4677616947d18d980b9c1a.tar.bz2
yosys-9c120b89ace6c111aa4677616947d18d980b9c1a.zip
Revert PRs #2203 and #2244.
This reverts commit 7e83a51fc96495c558a31fc3ca6c1a5ba4764f15. This reverts commit b422f2e4d0b8d5bfa97913d6b9dee488b59fc405. This reverts commit 7cb56f34b06de666935fbda315ce7c7bd45048b3. This reverts commit 6f9be939bd7653b0bdcae93a1033a086a4561b68. This reverts commit 76a34dc5f3a60c89efeaa3378ca0e2700a8aebd2.
Diffstat (limited to 'tests/various')
-rw-r--r--tests/various/integer_range_bad_syntax.ys6
-rw-r--r--tests/various/integer_real_bad_syntax.ys6
-rw-r--r--tests/various/logic_param_simple.ys9
-rw-r--r--tests/various/signed.ys28
4 files changed, 0 insertions, 49 deletions
diff --git a/tests/various/integer_range_bad_syntax.ys b/tests/various/integer_range_bad_syntax.ys
deleted file mode 100644
index 4f427211f..000000000
--- a/tests/various/integer_range_bad_syntax.ys
+++ /dev/null
@@ -1,6 +0,0 @@
-logger -expect error "syntax error, unexpected" 1
-read_verilog -sv <<EOT
-module test_integer_range();
-parameter integer [31:0] a = 0;
-endmodule
-EOT
diff --git a/tests/various/integer_real_bad_syntax.ys b/tests/various/integer_real_bad_syntax.ys
deleted file mode 100644
index 942d8de77..000000000
--- a/tests/various/integer_real_bad_syntax.ys
+++ /dev/null
@@ -1,6 +0,0 @@
-logger -expect error "syntax error, unexpected TOK_REAL" 1
-read_verilog -sv <<EOT
-module test_integer_real();
-parameter integer real a = 0;
-endmodule
-EOT
diff --git a/tests/various/logic_param_simple.ys b/tests/various/logic_param_simple.ys
deleted file mode 100644
index 968564080..000000000
--- a/tests/various/logic_param_simple.ys
+++ /dev/null
@@ -1,9 +0,0 @@
-read_verilog -sv <<EOT
-module test_logic_param();
-parameter logic a = 0;
-parameter logic [31:0] e = 0;
-parameter logic signed b = 0;
-parameter logic unsigned c = 0;
-parameter logic unsigned [31:0] d = 0;
-endmodule
-EOT
diff --git a/tests/various/signed.ys b/tests/various/signed.ys
deleted file mode 100644
index 2319a5da1..000000000
--- a/tests/various/signed.ys
+++ /dev/null
@@ -1,28 +0,0 @@
-# SV LRM A2.2.1
-
-read_verilog -sv <<EOT
-module test_signed();
-parameter integer signed a = 0;
-parameter integer unsigned b = 0;
-
-endmodule
-EOT
-
-design -reset
-read_verilog -sv <<EOT
-module test_signed();
-parameter logic signed [7:0] a = 0;
-parameter logic unsigned [7:0] b = 0;
-
-endmodule
-EOT
-
-design -reset
-logger -expect error "syntax error, unexpected TOK_INTEGER" 1
-read_verilog -sv <<EOT
-module test_signed();
-parameter signed integer a = 0;
-parameter unsigned integer b = 0;
-
-endmodule
-EOT