aboutsummaryrefslogtreecommitdiffstats
path: root/tests/various
diff options
context:
space:
mode:
authorZachary Snow <zach@zachjs.com>2020-12-22 17:38:51 -0700
committerZachary Snow <zach@zachjs.com>2020-12-22 17:49:16 -0700
commit999eec561752706a8ccb085a692684c745415985 (patch)
treece1bae2021e2cc8744440a67bae40358db3ec59f /tests/various
parentd15c63effc49e6227e99412afa8a78afb48de0e1 (diff)
downloadyosys-999eec561752706a8ccb085a692684c745415985.tar.gz
yosys-999eec561752706a8ccb085a692684c745415985.tar.bz2
yosys-999eec561752706a8ccb085a692684c745415985.zip
genrtlil: fix mux2rtlil generated wire signedness
Diffstat (limited to 'tests/various')
-rw-r--r--tests/various/port_sign_extend.v13
1 files changed, 9 insertions, 4 deletions
diff --git a/tests/various/port_sign_extend.v b/tests/various/port_sign_extend.v
index 055f20ad8..446268268 100644
--- a/tests/various/port_sign_extend.v
+++ b/tests/various/port_sign_extend.v
@@ -24,8 +24,8 @@ module PassThrough(a, b);
assign b = a;
endmodule
-module act(o1, o2, o3, o4, o5, yay1, nay1, yay2, nay2);
- output wire [3:0] o1, o2, o3, o4, o5;
+module act(o1, o2, o3, o4, o5, o6, yay1, nay1, yay2, nay2);
+ output wire [3:0] o1, o2, o3, o4, o5, o6;
// unsigned constant
PassThrough pt1(1'b1, o1);
@@ -48,6 +48,10 @@ module act(o1, o2, o3, o4, o5, yay1, nay1, yay2, nay2);
wire signed [1:0] tmp5b = 2'b01;
PassThrough pt5(tmp5a ^ tmp5b, o5);
+ wire signed [2:0] tmp6a = 3'b100;
+ wire signed [2:0] tmp6b = 3'b001;
+ PassThrough pt6(tmp6a ? tmp6a : tmp6b, o6);
+
output wire [2:0] yay1, nay1;
GeneratorSigned1 os1(yay1);
GeneratorUnsigned1 ou1(nay1);
@@ -57,14 +61,15 @@ module act(o1, o2, o3, o4, o5, yay1, nay1, yay2, nay2);
GeneratorUnsigned2 ou2(nay2);
endmodule
-module ref(o1, o2, o3, o4, o5, yay1, nay1, yay2, nay2);
- output wire [3:0] o1, o2, o3, o4, o5;
+module ref(o1, o2, o3, o4, o5, o6, yay1, nay1, yay2, nay2);
+ output wire [3:0] o1, o2, o3, o4, o5, o6;
assign o1 = 4'b0001;
assign o2 = 4'b0001;
assign o3 = 4'b1111;
assign o4 = 4'b1111;
assign o5 = 4'b1110;
+ assign o6 = 4'b1100;
output wire [2:0] yay1, nay1;
assign yay1 = 3'b111;