aboutsummaryrefslogtreecommitdiffstats
path: root/tests/various
diff options
context:
space:
mode:
authorZachary Snow <zach@zachjs.com>2020-05-04 20:22:16 -0400
committerZachary Snow <zach@zachjs.com>2020-05-06 08:43:02 -0400
commit8f9bba1bbfdb56630dadd75a3f92f7bfb26b3df6 (patch)
tree89b8cd47b0bc502434f631c65bd3b90aff8c2393 /tests/various
parent584780d776c92bc91731dbc2710dd8d9a624dc70 (diff)
downloadyosys-8f9bba1bbfdb56630dadd75a3f92f7bfb26b3df6.tar.gz
yosys-8f9bba1bbfdb56630dadd75a3f92f7bfb26b3df6.tar.bz2
yosys-8f9bba1bbfdb56630dadd75a3f92f7bfb26b3df6.zip
verilog: allow null gen-if then block
Diffstat (limited to 'tests/various')
-rw-r--r--tests/various/gen_if_null.v13
-rw-r--r--tests/various/gen_if_null.ys4
2 files changed, 17 insertions, 0 deletions
diff --git a/tests/various/gen_if_null.v b/tests/various/gen_if_null.v
new file mode 100644
index 000000000..a12ac6288
--- /dev/null
+++ b/tests/various/gen_if_null.v
@@ -0,0 +1,13 @@
+module test(x, y, z);
+ localparam OFF = 0;
+ generate
+ if (OFF) ;
+ else input x;
+ if (!OFF) input y;
+ else ;
+ if (OFF) ;
+ else ;
+ if (OFF) ;
+ input z;
+ endgenerate
+endmodule
diff --git a/tests/various/gen_if_null.ys b/tests/various/gen_if_null.ys
new file mode 100644
index 000000000..31dfc444b
--- /dev/null
+++ b/tests/various/gen_if_null.ys
@@ -0,0 +1,4 @@
+read_verilog gen_if_null.v
+select -assert-count 1 test/x
+select -assert-count 1 test/y
+select -assert-count 1 test/z