aboutsummaryrefslogtreecommitdiffstats
path: root/tests/various
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2019-05-06 12:26:15 +0200
committerClifford Wolf <clifford@clifford.at>2019-05-06 12:26:15 +0200
commit8c6e94d57c430fc516dbcfbde312dbd7c860477b (patch)
treeded4b4a5271b01e58f7d38b08121b1f3f9d89223 /tests/various
parent1cd1b5fc1adeb3ef62f061e27f5d8433d5c7dce6 (diff)
downloadyosys-8c6e94d57c430fc516dbcfbde312dbd7c860477b.tar.gz
yosys-8c6e94d57c430fc516dbcfbde312dbd7c860477b.tar.bz2
yosys-8c6e94d57c430fc516dbcfbde312dbd7c860477b.zip
Improve tests/various/specify.ys
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Diffstat (limited to 'tests/various')
-rw-r--r--tests/various/specify.ys34
1 files changed, 32 insertions, 2 deletions
diff --git a/tests/various/specify.ys b/tests/various/specify.ys
index 87d98e0a0..a5ca07219 100644
--- a/tests/various/specify.ys
+++ b/tests/various/specify.ys
@@ -8,10 +8,12 @@ cd test2
select t:$specify2 -assert-count 2
select t:$specify3 -assert-count 0
select t:$specrule -assert-count 0
+cd
write_verilog specify.out
design -stash gold
read_verilog -specify specify.out
+prep
cd test
select t:$specify2 -assert-count 0
select t:$specify3 -assert-count 1
@@ -20,7 +22,35 @@ cd test2
select t:$specify2 -assert-count 2
select t:$specify3 -assert-count 0
select t:$specrule -assert-count 0
+cd
design -stash gate
-# TODO: How to check $specify and $specrule-s are equivalent?
-# Otherwise, need more select statements to check parameter values are as expected?
+design -copy-from gold -as gold test
+design -copy-from gate -as gate test
+rename -hide
+rename -enumerate -pattern A_% t:$specify3
+rename -enumerate -pattern B_% t:$specrule r:TYPE=$setup %i
+rename -enumerate -pattern C_% t:$specrule r:TYPE=$hold %i
+select n:A_* -assert-count 2
+select n:B_* -assert-count 2
+select n:C_* -assert-count 2
+equiv_make gold gate equiv
+hierarchy -top equiv
+equiv_struct
+equiv_induct -seq 5
+equiv_status -assert
+design -reset
+
+design -copy-from gold -as gold test2
+design -copy-from gate -as gate test2
+rename -hide
+rename -enumerate -pattern A_% t:$specify2 r:T_RISE_TYP=1 %i
+rename -enumerate -pattern B_% t:$specify2 n:A_* %d
+select n:A_* -assert-count 2
+select n:B_* -assert-count 2
+equiv_make gold gate equiv
+hierarchy -top equiv
+equiv_struct
+equiv_induct -seq 5
+equiv_status -assert
+design -reset