aboutsummaryrefslogtreecommitdiffstats
path: root/tests/various/specify.ys
diff options
context:
space:
mode:
authorEddie Hung <eddie@fpgeh.com>2020-02-19 10:45:10 -0800
committerEddie Hung <eddie@fpgeh.com>2020-02-19 10:45:10 -0800
commit1d401a7991f4c0f133b7355acc400132da1aa4a0 (patch)
tree2064e3fcb06fcab66bf4d3d968ea050e8773b702 /tests/various/specify.ys
parentd20c1dac73e344dda73ec2b526ffb764efc9fdd8 (diff)
downloadyosys-1d401a7991f4c0f133b7355acc400132da1aa4a0.tar.gz
yosys-1d401a7991f4c0f133b7355acc400132da1aa4a0.tar.bz2
yosys-1d401a7991f4c0f133b7355acc400132da1aa4a0.zip
clean: ignore specify-s inside cells when determining whether to keep
Diffstat (limited to 'tests/various/specify.ys')
-rw-r--r--tests/various/specify.ys21
1 files changed, 20 insertions, 1 deletions
diff --git a/tests/various/specify.ys b/tests/various/specify.ys
index a2b6038e4..9d55b8eb5 100644
--- a/tests/various/specify.ys
+++ b/tests/various/specify.ys
@@ -55,4 +55,23 @@ equiv_induct -seq 5
equiv_status -assert
design -reset
-read_verilog specify.v
+read_verilog -specify <<EOT
+(* blackbox *)
+module test7_sub(input i, output o);
+specify
+ (i => o) = 1;
+endspecify
+assign o = ~i;
+endmodule
+
+module test7(input i, output o);
+ wire w;
+ test7_sub unused(i, w);
+ test7_sub used(i, o);
+endmodule
+EOT
+hierarchy
+cd test7
+clean
+select -assert-count 1 c:used
+select -assert-none c:* c:used %d