aboutsummaryrefslogtreecommitdiffstats
path: root/tests/various/shregmap.v
diff options
context:
space:
mode:
authorEddie Hung <eddie@fpgeh.com>2020-05-25 10:07:58 -0700
committerEddie Hung <eddie@fpgeh.com>2020-05-25 10:07:58 -0700
commit60aa8049157eb4f0417022182aeb8c1581efe404 (patch)
treec4c14d7ebe03463457e55491a570fb4e76dc13b7 /tests/various/shregmap.v
parentae11156c90eec958cd9ab631a28c41eccc105e56 (diff)
downloadyosys-60aa8049157eb4f0417022182aeb8c1581efe404.tar.gz
yosys-60aa8049157eb4f0417022182aeb8c1581efe404.tar.bz2
yosys-60aa8049157eb4f0417022182aeb8c1581efe404.zip
tests: fix some test warnings
Diffstat (limited to 'tests/various/shregmap.v')
-rw-r--r--tests/various/shregmap.v4
1 files changed, 2 insertions, 2 deletions
diff --git a/tests/various/shregmap.v b/tests/various/shregmap.v
index 604c2c976..dc828eda7 100644
--- a/tests/various/shregmap.v
+++ b/tests/various/shregmap.v
@@ -13,7 +13,7 @@ assign q = {shift2[3], shift1[3]};
endmodule
module $__SHREG_DFF_P_(input C, D, output Q);
-parameter DEPTH = 1;
+parameter DEPTH = 2;
parameter [DEPTH-1:0] INIT = {DEPTH{1'b0}};
reg [DEPTH-1:0] r = INIT;
always @(posedge C)
@@ -38,7 +38,7 @@ endmodule
module $__XILINX_SHREG_(input C, D, input [1:0] L, output Q);
parameter CLKPOL = 1;
parameter ENPOL = 1;
-parameter DEPTH = 1;
+parameter DEPTH = 2;
parameter [DEPTH-1:0] INIT = {DEPTH{1'b0}};
reg [DEPTH-1:0] r = INIT;
wire clk = C ^ CLKPOL;