aboutsummaryrefslogtreecommitdiffstats
path: root/tests/various/pmux2shiftx.ys
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2019-04-20 02:03:44 +0200
committerClifford Wolf <clifford@clifford.at>2019-04-20 02:03:44 +0200
commitb3a3e08e389c8d10fbd8e0dc8b48e1e559dedf5d (patch)
tree80bb8dd2e095baf93e5a591f58209f174ec18d8a /tests/various/pmux2shiftx.ys
parente06d158e8a3ae3626fbf82b3a8c6764f05111513 (diff)
downloadyosys-b3a3e08e389c8d10fbd8e0dc8b48e1e559dedf5d.tar.gz
yosys-b3a3e08e389c8d10fbd8e0dc8b48e1e559dedf5d.tar.bz2
yosys-b3a3e08e389c8d10fbd8e0dc8b48e1e559dedf5d.zip
Improve "pmux2shiftx"
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Diffstat (limited to 'tests/various/pmux2shiftx.ys')
-rw-r--r--tests/various/pmux2shiftx.ys2
1 files changed, 1 insertions, 1 deletions
diff --git a/tests/various/pmux2shiftx.ys b/tests/various/pmux2shiftx.ys
index f84ae3869..6bb9626eb 100644
--- a/tests/various/pmux2shiftx.ys
+++ b/tests/various/pmux2shiftx.ys
@@ -2,7 +2,7 @@ read_verilog pmux2shiftx.v
prep
design -save gold
-pmux2shiftx -min_density 70 50
+pmux2shiftx -min_density 70
opt