aboutsummaryrefslogtreecommitdiffstats
path: root/tests/various/pmux2shiftx.ys
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2019-04-19 23:37:11 +0200
committerClifford Wolf <clifford@clifford.at>2019-04-20 00:38:25 +0200
commit0070184ea9dea56d1dfd8268035bc01a3e340add (patch)
treea8b2ba3cead45bb3b16a308d48b82032c90b7937 /tests/various/pmux2shiftx.ys
parent4c831d72ef2d3a9f9b91d6fa27e09800ae09e869 (diff)
downloadyosys-0070184ea9dea56d1dfd8268035bc01a3e340add.tar.gz
yosys-0070184ea9dea56d1dfd8268035bc01a3e340add.tar.bz2
yosys-0070184ea9dea56d1dfd8268035bc01a3e340add.zip
Improvements in pmux2shiftx
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Diffstat (limited to 'tests/various/pmux2shiftx.ys')
-rw-r--r--tests/various/pmux2shiftx.ys12
1 files changed, 8 insertions, 4 deletions
diff --git a/tests/various/pmux2shiftx.ys b/tests/various/pmux2shiftx.ys
index 16618ac0a..f5e83171c 100644
--- a/tests/various/pmux2shiftx.ys
+++ b/tests/various/pmux2shiftx.ys
@@ -2,13 +2,17 @@ read_verilog pmux2shiftx.v
prep
design -save gold
-pmux2shiftx
+pmux2shiftx -density 70 50
+
opt
+
+stat
# show -width
-select -assert-count 1 t:$mux
-select -assert-count 1 t:$shift
-select -assert-count 2 t:$shiftx
select -assert-count 1 t:$sub
+select -assert-count 2 t:$mux
+select -assert-count 2 t:$shift
+select -assert-count 3 t:$shiftx
+
design -stash gate
design -import gold -as gold