aboutsummaryrefslogtreecommitdiffstats
path: root/tests/various/peepopt.ys
diff options
context:
space:
mode:
authorEddie Hung <eddie@fpgeh.com>2019-10-02 18:12:25 -0700
committerEddie Hung <eddie@fpgeh.com>2019-10-02 18:12:25 -0700
commite4bd5aaebf7e329236b10c93eac9ad113231f00e (patch)
tree20b808f775fc052b528497003a22359d6ab9f523 /tests/various/peepopt.ys
parentc6a55d948aebc8993cc3e33372b9c403b0b90554 (diff)
downloadyosys-e4bd5aaebf7e329236b10c93eac9ad113231f00e.tar.gz
yosys-e4bd5aaebf7e329236b10c93eac9ad113231f00e.tar.bz2
yosys-e4bd5aaebf7e329236b10c93eac9ad113231f00e.zip
Fix test
Diffstat (limited to 'tests/various/peepopt.ys')
-rw-r--r--tests/various/peepopt.ys14
1 files changed, 12 insertions, 2 deletions
diff --git a/tests/various/peepopt.ys b/tests/various/peepopt.ys
index 734a22408..1f18f1c74 100644
--- a/tests/various/peepopt.ys
+++ b/tests/various/peepopt.ys
@@ -188,8 +188,18 @@ endmodule
EOT
proc
-equiv_opt -assert peepopt
-design -load postopt
+#equiv_opt -assert peepopt
+
+design -save gold
+peepopt
+wreduce
+design -stash gate
+design -import gold -as gold
+design -import gate -as gate
+miter -equiv -flatten -make_assert -make_outputs gold gate miter
+sat -seq 1 -verify -prove-asserts -show-ports miter
+
+design -load gate
select -assert-count 1 t:$dff r:WIDTH=4 %i
select -assert-count 2 t:$mux
select -assert-count 2 t:$mux r:WIDTH=4 %i