aboutsummaryrefslogtreecommitdiffstats
path: root/tests/various/dynamic_part_select/reset_test_gate.v
diff options
context:
space:
mode:
authordiego <diego@symbioticeda.com>2020-06-09 15:17:01 -0500
committerdiego <diego@symbioticeda.com>2020-06-09 15:17:01 -0500
commit3c2a1171fff245eedee4c2cd3e12c554d20c505e (patch)
treed2386a932c244a08f2f16b4cb64a0c2ccbf76c53 /tests/various/dynamic_part_select/reset_test_gate.v
parent5c426d2bff07825042b0fa0449805ea9cb8b9f58 (diff)
downloadyosys-3c2a1171fff245eedee4c2cd3e12c554d20c505e.tar.gz
yosys-3c2a1171fff245eedee4c2cd3e12c554d20c505e.tar.bz2
yosys-3c2a1171fff245eedee4c2cd3e12c554d20c505e.zip
Adding latch tests for shift&mask AST dynamic part-select enhancements
Diffstat (limited to 'tests/various/dynamic_part_select/reset_test_gate.v')
-rw-r--r--tests/various/dynamic_part_select/reset_test_gate.v12
1 files changed, 7 insertions, 5 deletions
diff --git a/tests/various/dynamic_part_select/reset_test_gate.v b/tests/various/dynamic_part_select/reset_test_gate.v
index 96dff4135..4ae76c4f7 100644
--- a/tests/various/dynamic_part_select/reset_test_gate.v
+++ b/tests/various/dynamic_part_select/reset_test_gate.v
@@ -1,8 +1,10 @@
-module reset_test_gate (clk, ctrl, din, sel, dout);
- input clk;
- input [4:0] ctrl;
- input [1:0] din;
- input [0:0] sel;
+`default_nettype none
+module reset_test_gate (clk, reset, ctrl, din, sel, dout);
+ input wire clk;
+ input wire reset;
+ input wire [4:0] ctrl;
+ input wire [1:0] din;
+ input wire [0:0] sel;
output reg [31:0] dout;
reg [1:0] i;
wire [0:0] rval;