aboutsummaryrefslogtreecommitdiffstats
path: root/tests/various/dynamic_part_select/nonblocking.v
diff options
context:
space:
mode:
authorEddie Hung <eddie@fpgeh.com>2020-04-20 15:34:31 -0700
committerGitHub <noreply@github.com>2020-04-20 15:34:31 -0700
commitd32e56a3d1bdb36a77c0c3afad2eb4493292480b (patch)
tree08ee0263f698739cfeb19476413d5411aa512ab7 /tests/various/dynamic_part_select/nonblocking.v
parente86ba3b94d7285ded20b4280ad52821cbca504fc (diff)
parentcaf4071c8bd4494d2c86d3ef9ea7b17fc74bafca (diff)
downloadyosys-d32e56a3d1bdb36a77c0c3afad2eb4493292480b.tar.gz
yosys-d32e56a3d1bdb36a77c0c3afad2eb4493292480b.tar.bz2
yosys-d32e56a3d1bdb36a77c0c3afad2eb4493292480b.zip
Merge pull request #1975 from dh73/claire/bitselwrite
Adding tests to Claire/bitselwrite branch
Diffstat (limited to 'tests/various/dynamic_part_select/nonblocking.v')
-rw-r--r--tests/various/dynamic_part_select/nonblocking.v14
1 files changed, 14 insertions, 0 deletions
diff --git a/tests/various/dynamic_part_select/nonblocking.v b/tests/various/dynamic_part_select/nonblocking.v
new file mode 100644
index 000000000..0949b31a9
--- /dev/null
+++ b/tests/various/dynamic_part_select/nonblocking.v
@@ -0,0 +1,14 @@
+module nonblocking #(parameter WIDTH=32, SELW=1, CTRLW=$clog2(WIDTH), DINW=2**SELW)
+ (input clk,
+ input [CTRLW-1:0] ctrl,
+ input [DINW-1:0] din,
+ input [SELW-1:0] sel,
+ output reg [WIDTH-1:0] dout);
+
+ localparam SLICE = WIDTH/(SELW**2);
+ always @(posedge clk) begin
+ dout <= dout + 1;
+ dout[ctrl*sel+:SLICE] <= din ;
+ end
+
+endmodule