aboutsummaryrefslogtreecommitdiffstats
path: root/tests/various/const_func_block_var.ys
diff options
context:
space:
mode:
authorZachary Snow <zach@zachjs.com>2020-07-25 10:16:12 -0600
committerZachary Snow <zach@zachjs.com>2020-07-25 10:16:12 -0600
commitf69daf4830b2c101cc0380a18bf5c86692888f12 (patch)
tree2deed8c8987a724c16dd476e1deb1dfbeb939b74 /tests/various/const_func_block_var.ys
parentdafe04d5590412cc8a95bee31810d96a358af3dd (diff)
downloadyosys-f69daf4830b2c101cc0380a18bf5c86692888f12.tar.gz
yosys-f69daf4830b2c101cc0380a18bf5c86692888f12.tar.bz2
yosys-f69daf4830b2c101cc0380a18bf5c86692888f12.zip
Allow blocks with declarations within constant functions
Diffstat (limited to 'tests/various/const_func_block_var.ys')
-rw-r--r--tests/various/const_func_block_var.ys1
1 files changed, 1 insertions, 0 deletions
diff --git a/tests/various/const_func_block_var.ys b/tests/various/const_func_block_var.ys
new file mode 100644
index 000000000..7c2e85c64
--- /dev/null
+++ b/tests/various/const_func_block_var.ys
@@ -0,0 +1 @@
+read_verilog const_func_block_var.v