aboutsummaryrefslogtreecommitdiffstats
path: root/tests/various/abc9.ys
diff options
context:
space:
mode:
authorEddie Hung <eddie@fpgeh.com>2020-02-05 10:47:31 -0800
committerEddie Hung <eddie@fpgeh.com>2020-02-05 10:47:31 -0800
commitb6a1f627b5871e750fe6a559fbb42334c7de8b84 (patch)
treef1b284aebe30d0f7eabd9e8919b4275a38ff2ae4 /tests/various/abc9.ys
parent5aaa19f1ab33394accbe633cd96a3fbe281dd09a (diff)
parent5ebdc0f8e07989b79337ced0553bd28819a8cf3e (diff)
downloadyosys-b6a1f627b5871e750fe6a559fbb42334c7de8b84.tar.gz
yosys-b6a1f627b5871e750fe6a559fbb42334c7de8b84.tar.bz2
yosys-b6a1f627b5871e750fe6a559fbb42334c7de8b84.zip
Merge remote-tracking branch 'origin/master' into eddie/shiftx2mux
Diffstat (limited to 'tests/various/abc9.ys')
-rw-r--r--tests/various/abc9.ys15
1 files changed, 15 insertions, 0 deletions
diff --git a/tests/various/abc9.ys b/tests/various/abc9.ys
index 81d0afd1b..0c7695089 100644
--- a/tests/various/abc9.ys
+++ b/tests/various/abc9.ys
@@ -14,6 +14,7 @@ design -import gate -as gate
miter -equiv -flatten -make_assert -make_outputs gold gate miter
sat -verify -prove-asserts -show-ports miter
+
design -load read
hierarchy -top abc9_test028
proc
@@ -23,6 +24,7 @@ select -assert-count 1 t:$lut r:LUT=2'b01 r:WIDTH=1 %i %i
select -assert-count 1 t:unknown
select -assert-none t:$lut t:unknown %% t: %D
+
design -load read
hierarchy -top abc9_test032
proc
@@ -38,3 +40,16 @@ design -import gate -as gate
miter -equiv -flatten -make_assert -make_outputs gold gate miter
sat -seq 10 -verify -prove-asserts -show-ports miter
+
+
+design -reset
+read_verilog -icells <<EOT
+module abc9_test036(input clk, d, output q);
+(* keep *) reg w;
+$__ABC9_FF_ ff(.D(d), .Q(w));
+wire \ff.clock = clk;
+wire \ff.init = 1'b0;
+assign q = w;
+endmodule
+EOT
+abc9 -lut 4 -dff