aboutsummaryrefslogtreecommitdiffstats
path: root/tests/tools
diff options
context:
space:
mode:
authorEddie Hung <eddieh@ece.ubc.ca>2019-02-06 14:31:11 -0800
committerEddie Hung <eddieh@ece.ubc.ca>2019-02-06 14:31:11 -0800
commit4167b15de5f8d72b965d1ea2908c886f9703700a (patch)
tree581cf9b62272305401c1b4f47c279d533757100a /tests/tools
parent3f87cf86ccefe6e66f768fbf19c34db97cf7246d (diff)
parentc373640a3ac6c2f76f0a8dce4e44236154ca24bc (diff)
downloadyosys-4167b15de5f8d72b965d1ea2908c886f9703700a.tar.gz
yosys-4167b15de5f8d72b965d1ea2908c886f9703700a.tar.bz2
yosys-4167b15de5f8d72b965d1ea2908c886f9703700a.zip
Merge branch 'dff_init' of https://github.com/eddiehung/yosys into xaig
Diffstat (limited to 'tests/tools')
-rwxr-xr-xtests/tools/autotest.sh6
1 files changed, 4 insertions, 2 deletions
diff --git a/tests/tools/autotest.sh b/tests/tools/autotest.sh
index 3bce003e1..3e1325b33 100755
--- a/tests/tools/autotest.sh
+++ b/tests/tools/autotest.sh
@@ -22,7 +22,7 @@ if [ ! -f $toolsdir/cmp_tbdata -o $toolsdir/cmp_tbdata.c -nt $toolsdir/cmp_tbdat
( set -ex; ${CC:-gcc} -Wall -o $toolsdir/cmp_tbdata $toolsdir/cmp_tbdata.c; ) || exit 1
fi
-while getopts xmGl:wkjvref:s:p:n:S:I: opt; do
+while getopts xmGl:wkjvref:s:p:n:S:I:B: opt; do
case "$opt" in
x)
use_xsim=true ;;
@@ -59,8 +59,10 @@ while getopts xmGl:wkjvref:s:p:n:S:I: opt; do
include_opts="$include_opts -I $OPTARG"
xinclude_opts="$xinclude_opts -i $OPTARG"
minclude_opts="$minclude_opts +incdir+$OPTARG" ;;
+ B)
+ backend_opts="$backend_opts $OPTARG" ;;
*)
- echo "Usage: $0 [-x|-m] [-G] [-w] [-k] [-j] [-v] [-r] [-e] [-l libs] [-f frontend] [-s script] [-p cmdstring] [-n iters] [-S seed] [-I incdir] verilog-files\n" >&2
+ echo "Usage: $0 [-x|-m] [-G] [-w] [-k] [-j] [-v] [-r] [-e] [-l libs] [-f frontend] [-s script] [-p cmdstring] [-n iters] [-S seed] [-I incdir] [-B backend_opt] verilog-files\n" >&2
exit 1
esac
done