aboutsummaryrefslogtreecommitdiffstats
path: root/tests/techmap
diff options
context:
space:
mode:
authorEddie Hung <eddie@fpgeh.com>2020-01-15 16:42:16 -0800
committerEddie Hung <eddie@fpgeh.com>2020-01-15 16:42:16 -0800
commit03ce2c72bb4e8cd32df994dec04815fa5ecec6fe (patch)
tree3da287c8f88b731668c5f93f29a73fc5b000f9bc /tests/techmap
parentd6da9c0c0f3b59706f509b7fd96ea793491a2307 (diff)
parent2bda51ac34d6f542d1d6477eecede1d6527c10b3 (diff)
downloadyosys-03ce2c72bb4e8cd32df994dec04815fa5ecec6fe.tar.gz
yosys-03ce2c72bb4e8cd32df994dec04815fa5ecec6fe.tar.bz2
yosys-03ce2c72bb4e8cd32df994dec04815fa5ecec6fe.zip
Merge remote-tracking branch 'origin/master' into eddie/abc9_refactor
Diffstat (limited to 'tests/techmap')
-rw-r--r--tests/techmap/abc9.ys13
1 files changed, 13 insertions, 0 deletions
diff --git a/tests/techmap/abc9.ys b/tests/techmap/abc9.ys
index d5a63e1cb..2140dde26 100644
--- a/tests/techmap/abc9.ys
+++ b/tests/techmap/abc9.ys
@@ -52,6 +52,7 @@ equiv_opt -assert abc9 -lut 4
design -load postopt
select -assert-count 2 t:$lut
+
design -reset
read_verilog -icells <<EOT
module top(input a, b, output o);
@@ -66,3 +67,15 @@ equiv_opt -assert abc9 -lut 4
design -load postopt
select -assert-count 1 t:$lut
select -assert-count 1 t:$_AND_
+
+
+design -reset
+read_verilog -icells <<EOT
+module top(input a, b, output o);
+assign o = ~(a & b);
+endmodule
+EOT
+abc9 -lut 4
+clean
+select -assert-count 1 t:$lut
+select -assert-none t:$lut t:* %D