aboutsummaryrefslogtreecommitdiffstats
path: root/tests/svtypes
diff options
context:
space:
mode:
authorJannis Harder <me@jix.one>2022-05-16 16:15:04 +0200
committerGitHub <noreply@github.com>2022-05-16 16:15:04 +0200
commit2864f2826a41574b5c01e6674efa6bd6acc2f2e2 (patch)
treecdc9f3c12a8c3d2fc3cbf0a8fcbedb0ff802dce8 /tests/svtypes
parent3f8fb28cd25e2da888d707b67e762d36a9ea8fcc (diff)
parentfada77b8cfcb770a25e1f18543ddc428d9138f74 (diff)
downloadyosys-2864f2826a41574b5c01e6674efa6bd6acc2f2e2.tar.gz
yosys-2864f2826a41574b5c01e6674efa6bd6acc2f2e2.tar.bz2
yosys-2864f2826a41574b5c01e6674efa6bd6acc2f2e2.zip
Merge pull request #3314 from jix/sva_value_change_logic_wide
verific: Use new value change logic also for $stable of wide signals.
Diffstat (limited to 'tests/svtypes')
0 files changed, 0 insertions, 0 deletions