aboutsummaryrefslogtreecommitdiffstats
path: root/tests/svinterfaces/svinterface_at_top_wrapper.v
diff options
context:
space:
mode:
authorRuben Undheim <ruben.undheim@gmail.com>2018-10-20 11:58:25 +0200
committerRuben Undheim <ruben.undheim@gmail.com>2018-10-20 11:58:25 +0200
commit397dfccb304a12a40d34c4454a5cb4acee8be75f (patch)
tree39f2bdcbfbc62de55f7333c0bcfb509735bf561a /tests/svinterfaces/svinterface_at_top_wrapper.v
parentd9a438101298710b9dadd4e7a1cb0041e8ba4199 (diff)
downloadyosys-397dfccb304a12a40d34c4454a5cb4acee8be75f.tar.gz
yosys-397dfccb304a12a40d34c4454a5cb4acee8be75f.tar.bz2
yosys-397dfccb304a12a40d34c4454a5cb4acee8be75f.zip
Support for SystemVerilog interfaces as a port in the top level module + test case
Diffstat (limited to 'tests/svinterfaces/svinterface_at_top_wrapper.v')
-rw-r--r--tests/svinterfaces/svinterface_at_top_wrapper.v33
1 files changed, 33 insertions, 0 deletions
diff --git a/tests/svinterfaces/svinterface_at_top_wrapper.v b/tests/svinterfaces/svinterface_at_top_wrapper.v
new file mode 100644
index 000000000..64f906c07
--- /dev/null
+++ b/tests/svinterfaces/svinterface_at_top_wrapper.v
@@ -0,0 +1,33 @@
+`timescale 1ns/10ps
+
+module svinterface_at_top_wrapper(
+ input logic clk,
+ input logic rst,
+ output logic [21:0] outOther,
+ input logic [1:0] sig,
+ output logic [1:0] sig_out,
+ input logic flip,
+ output logic [15:0] passThrough,
+
+ input logic interfaceInstanceAtTop_setting,
+ output logic [2:0] interfaceInstanceAtTop_other_setting,
+ output logic [1:0] interfaceInstanceAtTop_mysig_out,
+ output logic [15:0] interfaceInstanceAtTop_passThrough,
+ );
+
+
+ TopModule u_dut (
+ .clk(clk),
+ .rst(rst),
+ .outOther(outOther),
+ .sig(sig),
+ .flip(flip),
+ .passThrough(passThrough),
+ .\interfaceInstanceAtTop.setting(interfaceInstanceAtTop_setting),
+ .\interfaceInstanceAtTop.other_setting(interfaceInstanceAtTop_other_setting),
+ .\interfaceInstanceAtTop.mysig_out(interfaceInstanceAtTop_mysig_out),
+ .\interfaceInstanceAtTop.passThrough(interfaceInstanceAtTop_passThrough),
+ .sig_out(sig_out)
+ );
+
+endmodule