aboutsummaryrefslogtreecommitdiffstats
path: root/tests/svinterfaces/run_simple.sh
diff options
context:
space:
mode:
authorRupert Swarbrick <rswarbrick@gmail.com>2021-07-14 17:27:13 +0100
committerZachary Snow <zachary.j.snow@gmail.com>2021-07-14 22:54:50 -0400
commit1aab608cffa19332dc5cf722def7413b16f5ee54 (patch)
tree8322d8c3232069dd5d11d5f4f43b3aa863a12e56 /tests/svinterfaces/run_simple.sh
parent7d50b8332204d79fac531134f29a0ab3d5bde04c (diff)
downloadyosys-1aab608cffa19332dc5cf722def7413b16f5ee54.tar.gz
yosys-1aab608cffa19332dc5cf722def7413b16f5ee54.tar.bz2
yosys-1aab608cffa19332dc5cf722def7413b16f5ee54.zip
Add a test for interfaces on modules loaded on-demand
Diffstat (limited to 'tests/svinterfaces/run_simple.sh')
-rwxr-xr-xtests/svinterfaces/run_simple.sh15
1 files changed, 15 insertions, 0 deletions
diff --git a/tests/svinterfaces/run_simple.sh b/tests/svinterfaces/run_simple.sh
new file mode 100755
index 000000000..bce994443
--- /dev/null
+++ b/tests/svinterfaces/run_simple.sh
@@ -0,0 +1,15 @@
+#!/bin/bash
+
+# Run a simple test with a .ys file
+
+if [ $# != 1 ]; then
+ echo >&2 "Expected 1 argument"
+ exit 1
+fi
+
+echo -n "Test: $1 ->"
+../../yosys $1.ys >$1.log_stdout 2>$1.log_stderr || {
+ echo "ERROR!"
+ exit 1
+}
+echo "ok"