aboutsummaryrefslogtreecommitdiffstats
path: root/tests/sva/sva_value_change_changed_wide.sv
diff options
context:
space:
mode:
authorJannis Harder <me@jix.one>2022-05-16 16:15:04 +0200
committerGitHub <noreply@github.com>2022-05-16 16:15:04 +0200
commit2864f2826a41574b5c01e6674efa6bd6acc2f2e2 (patch)
treecdc9f3c12a8c3d2fc3cbf0a8fcbedb0ff802dce8 /tests/sva/sva_value_change_changed_wide.sv
parent3f8fb28cd25e2da888d707b67e762d36a9ea8fcc (diff)
parentfada77b8cfcb770a25e1f18543ddc428d9138f74 (diff)
downloadyosys-2864f2826a41574b5c01e6674efa6bd6acc2f2e2.tar.gz
yosys-2864f2826a41574b5c01e6674efa6bd6acc2f2e2.tar.bz2
yosys-2864f2826a41574b5c01e6674efa6bd6acc2f2e2.zip
Merge pull request #3314 from jix/sva_value_change_logic_wide
verific: Use new value change logic also for $stable of wide signals.
Diffstat (limited to 'tests/sva/sva_value_change_changed_wide.sv')
-rw-r--r--tests/sva/sva_value_change_changed_wide.sv22
1 files changed, 22 insertions, 0 deletions
diff --git a/tests/sva/sva_value_change_changed_wide.sv b/tests/sva/sva_value_change_changed_wide.sv
new file mode 100644
index 000000000..c9147c4f3
--- /dev/null
+++ b/tests/sva/sva_value_change_changed_wide.sv
@@ -0,0 +1,22 @@
+module top (
+ input clk,
+ input [2:0] a,
+ input [2:0] b
+);
+ default clocking @(posedge clk); endclocking
+
+ assert property (
+ $changed(a)
+ );
+
+ assert property (
+ $changed(b) == ($changed(b[0]) || $changed(b[1]) || $changed(b[2]))
+ );
+
+`ifndef FAIL
+ assume property (
+ a !== 'x ##1 $changed(a)
+ );
+`endif
+
+endmodule