aboutsummaryrefslogtreecommitdiffstats
path: root/tests/sva/basic04.vhd
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2017-07-22 16:35:46 +0200
committerClifford Wolf <clifford@clifford.at>2017-07-22 16:35:46 +0200
commit84f15260b5f3d328c75ee385d2fdc2861b4e8f59 (patch)
tree87917cc11e9d0d69751ffaed5836ed970d532e26 /tests/sva/basic04.vhd
parent5be535517cfe9ce4c664e95eb02684305fc268e3 (diff)
downloadyosys-84f15260b5f3d328c75ee385d2fdc2861b4e8f59.tar.gz
yosys-84f15260b5f3d328c75ee385d2fdc2861b4e8f59.tar.bz2
yosys-84f15260b5f3d328c75ee385d2fdc2861b4e8f59.zip
Add more SVA test cases for future Verific work
Diffstat (limited to 'tests/sva/basic04.vhd')
-rw-r--r--tests/sva/basic04.vhd26
1 files changed, 26 insertions, 0 deletions
diff --git a/tests/sva/basic04.vhd b/tests/sva/basic04.vhd
new file mode 100644
index 000000000..889bef0d2
--- /dev/null
+++ b/tests/sva/basic04.vhd
@@ -0,0 +1,26 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity top is
+ port (
+ clock : in std_logic;
+ ctrl : in std_logic;
+ x : out std_logic
+ );
+end entity;
+
+architecture rtl of top is
+ signal read : std_logic;
+ signal write : std_logic;
+ signal ready : std_logic;
+begin
+ process (clock) begin
+ if (rising_edge(clock)) then
+ read <= not ctrl;
+ write <= ctrl;
+ ready <= write;
+ end if;
+ end process;
+
+ x <= read xor write xor ready;
+end architecture;