diff options
author | Eddie Hung <eddie@fpgeh.com> | 2020-01-07 15:44:18 -0800 |
---|---|---|
committer | Eddie Hung <eddie@fpgeh.com> | 2020-01-07 15:44:18 -0800 |
commit | 94ab3791ced9a9abcfe2cfce49b380a250d3731d (patch) | |
tree | b7c012c9a53effdbecb71bc36d7e36060ef39c6c /tests/simple_abc9 | |
parent | 3df869cc7cb6bd0afc2850bdcd5ce0409a36d53c (diff) | |
parent | 66b0f3c406fca11d789b26d85dd27660eacee26c (diff) | |
download | yosys-94ab3791ced9a9abcfe2cfce49b380a250d3731d.tar.gz yosys-94ab3791ced9a9abcfe2cfce49b380a250d3731d.tar.bz2 yosys-94ab3791ced9a9abcfe2cfce49b380a250d3731d.zip |
Merge remote-tracking branch 'origin/master' into eddie/abc9_mfs
Diffstat (limited to 'tests/simple_abc9')
-rw-r--r-- | tests/simple_abc9/abc9.v | 27 | ||||
-rwxr-xr-x | tests/simple_abc9/run-test.sh | 6 |
2 files changed, 31 insertions, 2 deletions
diff --git a/tests/simple_abc9/abc9.v b/tests/simple_abc9/abc9.v index de60619d1..8afd0ce96 100644 --- a/tests/simple_abc9/abc9.v +++ b/tests/simple_abc9/abc9.v @@ -264,3 +264,30 @@ always @* if (en) q <= d; endmodule + +module abc9_test031(input clk1, clk2, d, output reg q1, q2); +always @(posedge clk1) q1 <= d; +always @(negedge clk2) q2 <= q1; +endmodule + +module abc9_test032(input clk, d, r, output reg q); +always @(posedge clk or posedge r) + if (r) q <= 1'b0; + else q <= d; +endmodule + +module abc9_test033(input clk, d, r, output reg q); +always @(negedge clk or posedge r) + if (r) q <= 1'b1; + else q <= d; +endmodule + +module abc9_test034(input clk, d, output reg q1, q2); +always @(posedge clk) q1 <= d; +always @(posedge clk) q2 <= q1; +endmodule + +module abc9_test035(input clk, d, output reg [1:0] q); +always @(posedge clk) q[0] <= d; +always @(negedge clk) q[1] <= q[0]; +endmodule diff --git a/tests/simple_abc9/run-test.sh b/tests/simple_abc9/run-test.sh index 0d4262005..bc921daa9 100755 --- a/tests/simple_abc9/run-test.sh +++ b/tests/simple_abc9/run-test.sh @@ -20,10 +20,12 @@ fi cp ../simple/*.v . cp ../simple/*.sv . DOLLAR='?' -exec ${MAKE:-make} -f ../tools/autotest.mk $seed *.v EXTRA_FLAGS="-n 300 -p '\ +exec ${MAKE:-make} -f ../tools/autotest.mk $seed *.v *.sv EXTRA_FLAGS="-n 300 -p '\ hierarchy; \ synth -run coarse; \ opt -full; \ - techmap; abc9 -lut 4 -box ../abc.box; \ + techmap; \ + abc9 -lut 4 -box ../abc.box; \ + clean; \ check -assert; \ select -assert-none t:${DOLLAR}_NOT_ t:${DOLLAR}_AND_ %%'" |