aboutsummaryrefslogtreecommitdiffstats
path: root/tests/simple_abc9/run-test.sh
diff options
context:
space:
mode:
authorEddie Hung <eddie@fpgeh.com>2021-03-29 22:01:57 -0700
committerGitHub <noreply@github.com>2021-03-29 22:01:57 -0700
commit55dc5a4e4f7335741d2155dc0183ed4e26e8ddf8 (patch)
treeab4ab932ea29088baafcf3c71d6c5854403528d6 /tests/simple_abc9/run-test.sh
parent687f381b6985d9dda7e11535628e2fafff267af5 (diff)
downloadyosys-55dc5a4e4f7335741d2155dc0183ed4e26e8ddf8.tar.gz
yosys-55dc5a4e4f7335741d2155dc0183ed4e26e8ddf8.tar.bz2
yosys-55dc5a4e4f7335741d2155dc0183ed4e26e8ddf8.zip
abc9: fix SCC issues (#2694)
* xilinx: add SCC test for DSP48E1 * xilinx: Gate DSP48E1 being a whitebox behind ALLOW_WHITEBOX_DSP48E1 Have a test that checks it works through ABC9 when enabled * abc9 to break SCCs using $__ABC9_SCC_BREAKER module * Add test * abc9_ops: remove refs to (* abc9_keep *) on wires * abc9_ops: do not bypass cells in an SCC * Add myself to CODEOWNERS for abc9* * Fix compile * abc9_ops: run -prep_hier before scc * Fix tests * Remove bug reference pending fix * abc9: fix for -prep_hier -dff * xaiger: restore PI handling * abc9_ops: -prep_xaiger sigmap * abc9_ops: -mark_scc -> -break_scc * abc9: eliminate hard-coded abc9.box from tests Also tidy up * Address review
Diffstat (limited to 'tests/simple_abc9/run-test.sh')
-rwxr-xr-xtests/simple_abc9/run-test.sh12
1 files changed, 8 insertions, 4 deletions
diff --git a/tests/simple_abc9/run-test.sh b/tests/simple_abc9/run-test.sh
index b48505e29..4a5bf01a3 100755
--- a/tests/simple_abc9/run-test.sh
+++ b/tests/simple_abc9/run-test.sh
@@ -37,14 +37,18 @@ done
cp ../simple/*.v .
cp ../simple/*.sv .
+rm specify.v # bug 2675
DOLLAR='?'
-exec ${MAKE:-make} -f ../tools/autotest.mk $seed *.v *.sv EXTRA_FLAGS="-n 300 -p '\
+exec ${MAKE:-make} -f ../tools/autotest.mk $seed *.v *.sv EXTRA_FLAGS="-f \"verilog -noblackbox -specify\" -n 300 -p '\
+ read_verilog -icells -lib +/abc9_model.v; \
hierarchy; \
synth -run coarse; \
opt -full; \
techmap; \
- abc9 -lut 4 -box ../abc9.box; \
+ abc9 -lut 4; \
clean; \
- check -assert; \
+ check -assert * abc9_test037 %d; \
select -assert-none t:${DOLLAR}_NOT_ t:${DOLLAR}_AND_ %%; \
- setattr -mod -unset blackbox'"
+ setattr -mod -unset blackbox -unset whitebox'"
+
+# NOTE: Skip 'check -assert' on abc9_test037 because it intentionally has a combinatorial loop