aboutsummaryrefslogtreecommitdiffstats
path: root/tests/simple_abc9/run-test.sh
diff options
context:
space:
mode:
authorEddie Hung <eddie@fpgeh.com>2019-04-16 13:10:35 -0700
committerEddie Hung <eddie@fpgeh.com>2019-04-16 13:10:35 -0700
commit0c8a839f13bf7bc8368625ab55960dd3f219b0d8 (patch)
tree50292bcaf3cc56cc260be8f841c75f0ad2d03bd7 /tests/simple_abc9/run-test.sh
parentafcb86c3d143c95643855da1159b0f245f75262c (diff)
downloadyosys-0c8a839f13bf7bc8368625ab55960dd3f219b0d8.tar.gz
yosys-0c8a839f13bf7bc8368625ab55960dd3f219b0d8.tar.bz2
yosys-0c8a839f13bf7bc8368625ab55960dd3f219b0d8.zip
Re-enable partsel.v test
Diffstat (limited to 'tests/simple_abc9/run-test.sh')
-rwxr-xr-xtests/simple_abc9/run-test.sh1
1 files changed, 0 insertions, 1 deletions
diff --git a/tests/simple_abc9/run-test.sh b/tests/simple_abc9/run-test.sh
index bf48d007d..af003d52e 100755
--- a/tests/simple_abc9/run-test.sh
+++ b/tests/simple_abc9/run-test.sh
@@ -18,6 +18,5 @@ if ! which iverilog > /dev/null ; then
fi
cp ../simple/*.v .
-rm partsel.v # FIXME: Contains 1'hx, thus write_xaiger fails
DOLLAR='?'
exec ${MAKE:-make} -f ../tools/autotest.mk $seed *.v EXTRA_FLAGS="-p 'hierarchy; synth -run coarse; techmap; opt -full; abc9 -lut 4; stat; check -assert; select -assert-none t:${DOLLAR}_NOT_ t:${DOLLAR}_AND_'"