aboutsummaryrefslogtreecommitdiffstats
path: root/tests/simple
diff options
context:
space:
mode:
authorZachary Snow <zach@zachjs.com>2021-12-29 10:38:55 -0700
committerZachary Snow <zachary.j.snow@gmail.com>2022-01-03 12:11:41 -0700
commite0e4dfb55ea1812521aa4c0e79240987653538da (patch)
tree6c7e53b63f24982661d8ea2ec37c20fbd95c76dc /tests/simple
parent207af4196b588546344db80df6cb3f77df784aaf (diff)
downloadyosys-e0e4dfb55ea1812521aa4c0e79240987653538da.tar.gz
yosys-e0e4dfb55ea1812521aa4c0e79240987653538da.tar.bz2
yosys-e0e4dfb55ea1812521aa4c0e79240987653538da.zip
fix iverilog compatibility for new case expr tests
Diffstat (limited to 'tests/simple')
-rw-r--r--tests/simple/case_expr_extend.sv2
-rw-r--r--tests/simple/case_expr_query.sv2
2 files changed, 2 insertions, 2 deletions
diff --git a/tests/simple/case_expr_extend.sv b/tests/simple/case_expr_extend.sv
index 61bd14df1..d4ca2aa9b 100644
--- a/tests/simple/case_expr_extend.sv
+++ b/tests/simple/case_expr_extend.sv
@@ -1,7 +1,7 @@
module top(
output logic [5:0] out
);
-always_comb begin
+initial begin
out = '0;
case (1'b1 << 1)
2'b10: out = '1;
diff --git a/tests/simple/case_expr_query.sv b/tests/simple/case_expr_query.sv
index 63a0a8b7a..844dfb713 100644
--- a/tests/simple/case_expr_query.sv
+++ b/tests/simple/case_expr_query.sv
@@ -1,7 +1,7 @@
module top(
output logic [5:0] out
);
-always_comb begin
+initial begin
out = '0;
case ($bits (out)) 6:
case ($size (out)) 6: