aboutsummaryrefslogtreecommitdiffstats
path: root/tests/simple
diff options
context:
space:
mode:
authorZachary Snow <zach@zachjs.com>2021-12-30 00:01:30 -0700
committerZachary Snow <zachary.j.snow@gmail.com>2022-01-03 08:17:35 -0700
commit8c509a5659d540dc41f6cc19ee6989fc249f519d (patch)
tree95269bdb728345b781ed31c081bd35ed00462cec /tests/simple
parentcb17eeaf5008a87384b3888dc34993928daba918 (diff)
downloadyosys-8c509a5659d540dc41f6cc19ee6989fc249f519d.tar.gz
yosys-8c509a5659d540dc41f6cc19ee6989fc249f519d.tar.bz2
yosys-8c509a5659d540dc41f6cc19ee6989fc249f519d.zip
sv: fix size cast clipping expression width
Diffstat (limited to 'tests/simple')
-rw-r--r--tests/simple/lesser_size_cast.sv7
1 files changed, 7 insertions, 0 deletions
diff --git a/tests/simple/lesser_size_cast.sv b/tests/simple/lesser_size_cast.sv
new file mode 100644
index 000000000..8c0bc9814
--- /dev/null
+++ b/tests/simple/lesser_size_cast.sv
@@ -0,0 +1,7 @@
+module top (
+ input signed [1:0] a,
+ input signed [2:0] b,
+ output signed [4:0] c
+);
+ assign c = 2'(a) * b;
+endmodule