aboutsummaryrefslogtreecommitdiffstats
path: root/tests/simple
diff options
context:
space:
mode:
authorZachary Snow <zach@zachjs.com>2021-01-20 08:49:32 -0700
committerZachary Snow <zach@zachjs.com>2021-01-20 08:49:58 -0700
commit4fadcc8f25d5ef1e494aa7d5e49d893afdaa1705 (patch)
treea9a800ae8beb09260763e122371f009eda689b23 /tests/simple
parent4762cc06c6b7cd36dda2e6eddf15b9782334ccd4 (diff)
downloadyosys-4fadcc8f25d5ef1e494aa7d5e49d893afdaa1705.tar.gz
yosys-4fadcc8f25d5ef1e494aa7d5e49d893afdaa1705.tar.bz2
yosys-4fadcc8f25d5ef1e494aa7d5e49d893afdaa1705.zip
verilog: allow spaces in macro arguments
Diffstat (limited to 'tests/simple')
-rw-r--r--tests/simple/macro_arg_spaces.sv28
1 files changed, 28 insertions, 0 deletions
diff --git a/tests/simple/macro_arg_spaces.sv b/tests/simple/macro_arg_spaces.sv
new file mode 100644
index 000000000..75c4cd136
--- /dev/null
+++ b/tests/simple/macro_arg_spaces.sv
@@ -0,0 +1,28 @@
+module top(
+ input wire [31:0] i,
+ output wire [31:0] x, y, z
+);
+
+`define BAR(a) a
+`define FOO(a = function automatic [31:0] f) a
+
+`BAR(function automatic [31:0] a);
+ input [31:0] i;
+ a = i * 2;
+endfunction
+
+`FOO();
+ input [31:0] i;
+ f = i * 3;
+endfunction
+
+`FOO(function automatic [31:0] b);
+ input [31:0] i;
+ b = i * 5;
+endfunction
+
+assign x = a(i);
+assign y = f(i);
+assign z = b(i);
+
+endmodule