aboutsummaryrefslogtreecommitdiffstats
path: root/tests/simple
diff options
context:
space:
mode:
authorEddie Hung <eddieh@ece.ubc.ca>2019-02-17 11:49:06 -0800
committerEddie Hung <eddieh@ece.ubc.ca>2019-02-17 11:49:06 -0800
commit17cd5f759f74b3f2b96d2035970ebac03509df9a (patch)
tree02262b0e2d32e2753c81ea4ae51ea56c1072506c /tests/simple
parente8f4dc739c5cf1129800aaa88df3f7c6f9c99360 (diff)
parente45f62b0c56717a23099425f078d1e56212aa632 (diff)
downloadyosys-17cd5f759f74b3f2b96d2035970ebac03509df9a.tar.gz
yosys-17cd5f759f74b3f2b96d2035970ebac03509df9a.tar.bz2
yosys-17cd5f759f74b3f2b96d2035970ebac03509df9a.zip
Merge https://github.com/YosysHQ/yosys into dff_init
Diffstat (limited to 'tests/simple')
-rw-r--r--tests/simple/xfirrtl26
1 files changed, 26 insertions, 0 deletions
diff --git a/tests/simple/xfirrtl b/tests/simple/xfirrtl
new file mode 100644
index 000000000..00e89b389
--- /dev/null
+++ b/tests/simple/xfirrtl
@@ -0,0 +1,26 @@
+# This file contains the names of verilog files to exclude from verilog to FIRRTL regression tests due to known failures.
+arraycells.v inst id[0] of
+dff_different_styles.v
+generate.v combinational loop
+hierdefparam.v inst id[0] of
+i2c_master_tests.v $adff
+macros.v drops modules
+mem2reg.v drops modules
+mem_arst.v $adff
+memory.v $adff
+multiplier.v inst id[0] of
+muxtree.v drops modules
+omsp_dbg_uart.v $adff
+operators.v $pow
+paramods.v subfield assignment (bits() <= ...)
+partsel.v drops modules
+process.v drops modules
+realexpr.v drops modules
+scopes.v original verilog issues ( -x where x isn't declared signed)
+sincos.v $adff
+specify.v no code (empty module generates error
+subbytes.v $adff
+task_func.v drops modules
+values.v combinational loop
+vloghammer.v combinational loop
+wreduce.v original verilog issues ( -x where x isn't declared signed)