aboutsummaryrefslogtreecommitdiffstats
path: root/tests/simple/task_func.v
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2014-07-25 13:01:45 +0200
committerClifford Wolf <clifford@clifford.at>2014-07-25 13:01:45 +0200
commit50f22ff30c921c90f686879455117c7c2c9f96d5 (patch)
tree9ee57923c22af5d0559288452ca0c02f0747f2be /tests/simple/task_func.v
parent0520bfea892291a131134411d587034fcd36bf1c (diff)
downloadyosys-50f22ff30c921c90f686879455117c7c2c9f96d5.tar.gz
yosys-50f22ff30c921c90f686879455117c7c2c9f96d5.tar.bz2
yosys-50f22ff30c921c90f686879455117c7c2c9f96d5.zip
Renamed some of the test cases in tests/simple to avoid name collisions
Diffstat (limited to 'tests/simple/task_func.v')
-rw-r--r--tests/simple/task_func.v2
1 files changed, 1 insertions, 1 deletions
diff --git a/tests/simple/task_func.v b/tests/simple/task_func.v
index 3a09cbc35..8dbc90c56 100644
--- a/tests/simple/task_func.v
+++ b/tests/simple/task_func.v
@@ -1,5 +1,5 @@
-module test01(clk, a, b, c, x, y, z, w);
+module task_func_test01(clk, a, b, c, x, y, z, w);
input clk;
input [7:0] a, b, c;