aboutsummaryrefslogtreecommitdiffstats
path: root/tests/sim/sim_dff.ys
diff options
context:
space:
mode:
authorMiodrag Milanovic <mmicko@gmail.com>2022-02-15 09:35:53 +0100
committerMiodrag Milanovic <mmicko@gmail.com>2022-02-16 13:27:59 +0100
commit271ac28b417be00d7be1cc898762c8e425a0aae3 (patch)
treefcff14974c070b2615e8eda981e5b811fc4e8905 /tests/sim/sim_dff.ys
parentfb22d7cdc411ec52672cb7f13364651c564872db (diff)
downloadyosys-271ac28b417be00d7be1cc898762c8e425a0aae3.tar.gz
yosys-271ac28b417be00d7be1cc898762c8e425a0aae3.tar.bz2
yosys-271ac28b417be00d7be1cc898762c8e425a0aae3.zip
Added test cases
Diffstat (limited to 'tests/sim/sim_dff.ys')
-rw-r--r--tests/sim/sim_dff.ys6
1 files changed, 6 insertions, 0 deletions
diff --git a/tests/sim/sim_dff.ys b/tests/sim/sim_dff.ys
new file mode 100644
index 000000000..12f402443
--- /dev/null
+++ b/tests/sim/sim_dff.ys
@@ -0,0 +1,6 @@
+read_verilog dff.v
+proc
+opt_dff
+stat
+select -assert-count 1 t:$dff
+sim -clock clk -r tb_dff.fst -scope tb_dff.uut -sim-cmp dff