aboutsummaryrefslogtreecommitdiffstats
path: root/tests/sim/adffe.v
diff options
context:
space:
mode:
authorMiodrag Milanovic <mmicko@gmail.com>2022-02-15 09:35:53 +0100
committerMiodrag Milanovic <mmicko@gmail.com>2022-02-16 13:27:59 +0100
commit271ac28b417be00d7be1cc898762c8e425a0aae3 (patch)
treefcff14974c070b2615e8eda981e5b811fc4e8905 /tests/sim/adffe.v
parentfb22d7cdc411ec52672cb7f13364651c564872db (diff)
downloadyosys-271ac28b417be00d7be1cc898762c8e425a0aae3.tar.gz
yosys-271ac28b417be00d7be1cc898762c8e425a0aae3.tar.bz2
yosys-271ac28b417be00d7be1cc898762c8e425a0aae3.zip
Added test cases
Diffstat (limited to 'tests/sim/adffe.v')
-rw-r--r--tests/sim/adffe.v8
1 files changed, 8 insertions, 0 deletions
diff --git a/tests/sim/adffe.v b/tests/sim/adffe.v
new file mode 100644
index 000000000..55c7d8d4e
--- /dev/null
+++ b/tests/sim/adffe.v
@@ -0,0 +1,8 @@
+module adffe( input d, clk, rst, en, output reg q );
+ always @( posedge clk, posedge rst )
+ if (rst)
+ q <= 0;
+ else
+ if (en)
+ q <= d;
+endmodule