aboutsummaryrefslogtreecommitdiffstats
path: root/tests/opt
diff options
context:
space:
mode:
authorMarcelina Koƛcielnicka <mwk@0x04.net>2021-12-20 17:10:30 +0100
committerMarcelina Koƛcielnicka <mwk@0x04.net>2021-12-20 18:40:14 +0100
commitf84c9d8e17fbbfa3c0f8b533475e10142e046556 (patch)
treed4ac8588f723a8aaa515d09e9bac0bd4b398ec62 /tests/opt
parentf599c148c570910195d7181037033d9d3e5238a3 (diff)
downloadyosys-f84c9d8e17fbbfa3c0f8b533475e10142e046556.tar.gz
yosys-f84c9d8e17fbbfa3c0f8b533475e10142e046556.tar.bz2
yosys-f84c9d8e17fbbfa3c0f8b533475e10142e046556.zip
memory_share: Fix SAT-based sharing for wide ports.
Fixes #3117.
Diffstat (limited to 'tests/opt')
-rw-r--r--tests/opt/bug3117.ys34
1 files changed, 34 insertions, 0 deletions
diff --git a/tests/opt/bug3117.ys b/tests/opt/bug3117.ys
new file mode 100644
index 000000000..177b3ab9a
--- /dev/null
+++ b/tests/opt/bug3117.ys
@@ -0,0 +1,34 @@
+read_verilog << EOT
+
+module test (...);
+
+input [7:1] wa1;
+input [7:1] wa2;
+input [7:0] ra;
+output [7:0] rd;
+input clk;
+input we1, we2;
+input [15:0] wd1, wd2;
+
+reg [7:0] mem [0:255];
+
+assign rd = mem[ra];
+
+always @(posedge clk) begin
+ if (we1) begin
+ mem[{wa1, 1'b0}] <= wd1[7:0];
+ mem[{wa1, 1'b1}] <= wd1[15:8];
+ end else begin
+ mem[{wa2, 1'b0}] <= wd2[7:0];
+ mem[{wa2, 1'b1}] <= wd2[15:8];
+ end
+end
+
+endmodule
+
+EOT
+
+proc
+opt
+memory_share
+select -assert-count 1 t:$memwr_v2