aboutsummaryrefslogtreecommitdiffstats
path: root/tests/opt/opt_share_mux_tree.ys
diff options
context:
space:
mode:
authorBogdan Vukobratovic <bogdan.vukobratovic@gmail.com>2019-07-28 16:03:54 +0200
committerBogdan Vukobratovic <bogdan.vukobratovic@gmail.com>2019-07-28 16:03:54 +0200
commitc075486c59155d16ed278922a3752366a95246ff (patch)
treede6e076b2f3c03ba67496916207fa1077ed8fd1f /tests/opt/opt_share_mux_tree.ys
parent07c4a7d4388cdacaa15512dd2f6f0f9e9fcb31f5 (diff)
downloadyosys-c075486c59155d16ed278922a3752366a95246ff.tar.gz
yosys-c075486c59155d16ed278922a3752366a95246ff.tar.bz2
yosys-c075486c59155d16ed278922a3752366a95246ff.zip
Reimplement opt_share to work on $alu and $pmux
Diffstat (limited to 'tests/opt/opt_share_mux_tree.ys')
-rw-r--r--tests/opt/opt_share_mux_tree.ys19
1 files changed, 11 insertions, 8 deletions
diff --git a/tests/opt/opt_share_mux_tree.ys b/tests/opt/opt_share_mux_tree.ys
index 94d6aa7d2..58473039f 100644
--- a/tests/opt/opt_share_mux_tree.ys
+++ b/tests/opt/opt_share_mux_tree.ys
@@ -1,10 +1,13 @@
read_verilog opt_share_mux_tree.v
-prep -flatten
-opt
-pmuxtree
-opt_share;
-opt_share;
-opt_clean
+proc;;
+copy opt_share_test merged
-select -assert-count 1 t:$add
-select -assert-count 0 t:$sub
+alumacc merged
+opt merged
+opt_share merged
+opt_clean merged
+
+miter -equiv -flatten -ignore_gold_x -make_outputs -make_outcmp opt_share_test merged miter
+sat -set-def-inputs -verify -prove trigger 0 -show-inputs -show-outputs miter
+
+select -assert-count 1 -module merged t:$alu