aboutsummaryrefslogtreecommitdiffstats
path: root/tests/opt/opt_share_large_pmux_part.ys
diff options
context:
space:
mode:
authorBogdan Vukobratovic <bogdan.vukobratovic@gmail.com>2019-07-28 16:03:54 +0200
committerBogdan Vukobratovic <bogdan.vukobratovic@gmail.com>2019-07-28 16:03:54 +0200
commitc075486c59155d16ed278922a3752366a95246ff (patch)
treede6e076b2f3c03ba67496916207fa1077ed8fd1f /tests/opt/opt_share_large_pmux_part.ys
parent07c4a7d4388cdacaa15512dd2f6f0f9e9fcb31f5 (diff)
downloadyosys-c075486c59155d16ed278922a3752366a95246ff.tar.gz
yosys-c075486c59155d16ed278922a3752366a95246ff.tar.bz2
yosys-c075486c59155d16ed278922a3752366a95246ff.zip
Reimplement opt_share to work on $alu and $pmux
Diffstat (limited to 'tests/opt/opt_share_large_pmux_part.ys')
-rw-r--r--tests/opt/opt_share_large_pmux_part.ys13
1 files changed, 13 insertions, 0 deletions
diff --git a/tests/opt/opt_share_large_pmux_part.ys b/tests/opt/opt_share_large_pmux_part.ys
new file mode 100644
index 000000000..6b594a3d6
--- /dev/null
+++ b/tests/opt/opt_share_large_pmux_part.ys
@@ -0,0 +1,13 @@
+read_verilog opt_share_large_pmux_part.v
+proc;;
+copy opt_share_test merged
+
+alumacc merged
+opt merged
+opt_share merged
+opt_clean merged
+
+miter -equiv -flatten -ignore_gold_x -make_outputs -make_outcmp opt_share_test merged miter
+sat -set-def-inputs -verify -prove trigger 0 -show-inputs -show-outputs miter
+
+select -assert-count 1 -module merged t:$alu