aboutsummaryrefslogtreecommitdiffstats
path: root/tests/opt/opt_share_add_sub.ys
diff options
context:
space:
mode:
authorEddie Hung <eddie@fpgeh.com>2019-08-18 21:29:15 -0700
committerEddie Hung <eddie@fpgeh.com>2019-08-18 21:29:15 -0700
commite34f2de55d9d1ec8cbdd9c1f3e27af678f0fc2df (patch)
tree74c0b3ba63fdd678fcfc839dd6d0d39d43cef365 /tests/opt/opt_share_add_sub.ys
parentf5170a7eda6fddaf482896a2ad67da4bb3131d7b (diff)
parent98a54353b7d893752d856b3726853d4921c6aa1f (diff)
downloadyosys-e34f2de55d9d1ec8cbdd9c1f3e27af678f0fc2df.tar.gz
yosys-e34f2de55d9d1ec8cbdd9c1f3e27af678f0fc2df.tar.bz2
yosys-e34f2de55d9d1ec8cbdd9c1f3e27af678f0fc2df.zip
Merge remote-tracking branch 'origin/master' into clifford/testfast
Diffstat (limited to 'tests/opt/opt_share_add_sub.ys')
-rw-r--r--tests/opt/opt_share_add_sub.ys13
1 files changed, 13 insertions, 0 deletions
diff --git a/tests/opt/opt_share_add_sub.ys b/tests/opt/opt_share_add_sub.ys
new file mode 100644
index 000000000..4a5406791
--- /dev/null
+++ b/tests/opt/opt_share_add_sub.ys
@@ -0,0 +1,13 @@
+read_verilog opt_share_add_sub.v
+proc;;
+copy opt_share_test merged
+
+alumacc merged
+opt merged
+opt_share merged
+opt_clean merged
+
+miter -equiv -flatten -ignore_gold_x -make_outputs -make_outcmp opt_share_test merged miter
+sat -set-def-inputs -verify -prove trigger 0 -show-inputs -show-outputs miter
+
+select -assert-count 1 -module merged t:$alu