aboutsummaryrefslogtreecommitdiffstats
path: root/tests/opt/opt_rmdff_sat.ys
diff options
context:
space:
mode:
authorMarcelina Koƛcielnicka <mwk@0x04.net>2020-07-15 00:58:07 +0200
committerMarcelina Koƛcielnicka <mwk@0x04.net>2020-07-30 18:27:04 +0200
commitaf6623ebb86e796dfe4c122f03890e0788399af0 (patch)
tree1c29da82e43bebb99c36c5599b8d6bea1d759caf /tests/opt/opt_rmdff_sat.ys
parent8fd43515c5790552e686dbad09e92db2e8d291ca (diff)
downloadyosys-af6623ebb86e796dfe4c122f03890e0788399af0.tar.gz
yosys-af6623ebb86e796dfe4c122f03890e0788399af0.tar.bz2
yosys-af6623ebb86e796dfe4c122f03890e0788399af0.zip
Add opt_dff pass.
Diffstat (limited to 'tests/opt/opt_rmdff_sat.ys')
-rw-r--r--tests/opt/opt_rmdff_sat.ys4
1 files changed, 2 insertions, 2 deletions
diff --git a/tests/opt/opt_rmdff_sat.ys b/tests/opt/opt_rmdff_sat.ys
index 1c3dd9c05..231c43ecb 100644
--- a/tests/opt/opt_rmdff_sat.ys
+++ b/tests/opt/opt_rmdff_sat.ys
@@ -1,5 +1,5 @@
read_verilog opt_rmdff_sat.v
prep -flatten
-opt_rmdff -sat
-synth
+opt_dff -sat -nosdff
+simplemap
select -assert-count 5 t:$_DFF_P_