aboutsummaryrefslogtreecommitdiffstats
path: root/tests/memfile
diff options
context:
space:
mode:
authorRodrigo Alejandro Melo <rodrigomelo9@gmail.com>2020-02-02 12:33:34 -0300
committerRodrigo Alejandro Melo <rodrigomelo9@gmail.com>2020-02-02 12:34:27 -0300
commit8217f579b7450f282f1baa8d6fe1fbefc002a534 (patch)
tree20e63152ce2d9b1468aebe55ca14fefa1566b6c4 /tests/memfile
parent9b49f1bc469867500a1745fb3c427d813ce3aada (diff)
downloadyosys-8217f579b7450f282f1baa8d6fe1fbefc002a534.tar.gz
yosys-8217f579b7450f282f1baa8d6fe1fbefc002a534.tar.bz2
yosys-8217f579b7450f282f1baa8d6fe1fbefc002a534.zip
Removed 'synth' into tests/memfile/run-test.sh
Signed-off-by: Rodrigo Alejandro Melo <rodrigomelo9@gmail.com>
Diffstat (limited to 'tests/memfile')
-rwxr-xr-xtests/memfile/run-test.sh16
1 files changed, 8 insertions, 8 deletions
diff --git a/tests/memfile/run-test.sh b/tests/memfile/run-test.sh
index 1684b6314..f25a8e0b1 100755
--- a/tests/memfile/run-test.sh
+++ b/tests/memfile/run-test.sh
@@ -6,24 +6,24 @@ cp content1.dat temp/content2.dat
cd ..
echo "Running from the parent directory with content1.dat"
-../yosys -qp "read_verilog -defer memfile/memory.v; chparam -set MEMFILE \"content1.dat\" memory; synth -top memory"
+../yosys -qp "read_verilog -defer memfile/memory.v; chparam -set MEMFILE \"content1.dat\" memory"
echo "Running from the parent directory with temp/content2.dat"
-../yosys -qp "read_verilog -defer memfile/memory.v; chparam -set MEMFILE \"temp/content2.dat\" memory; synth -top memory"
+../yosys -qp "read_verilog -defer memfile/memory.v; chparam -set MEMFILE \"temp/content2.dat\" memory"
echo "Running from the parent directory with memfile/temp/content2.dat"
-../yosys -qp "read_verilog -defer memfile/memory.v; chparam -set MEMFILE \"memfile/temp/content2.dat\" memory; synth -top memory"
+../yosys -qp "read_verilog -defer memfile/memory.v; chparam -set MEMFILE \"memfile/temp/content2.dat\" memory"
cd memfile
echo "Running from the same directory with content1.dat"
-../../yosys -qp "read_verilog -defer memory.v; chparam -set MEMFILE \"content1.dat\" memory; synth -top memory"
+../../yosys -qp "read_verilog -defer memory.v; chparam -set MEMFILE \"content1.dat\" memory"
echo "Running from the same directory with temp/content2.dat"
-../../yosys -qp "read_verilog -defer memory.v; chparam -set MEMFILE \"temp/content2.dat\" memory; synth -top memory"
+../../yosys -qp "read_verilog -defer memory.v; chparam -set MEMFILE \"temp/content2.dat\" memory"
cd temp
echo "Running from a child directory with content1.dat"
-../../../yosys -qp "read_verilog -defer ../memory.v; chparam -set MEMFILE \"content1.dat\" memory; synth -top memory"
+../../../yosys -qp "read_verilog -defer ../memory.v; chparam -set MEMFILE \"content1.dat\" memory"
echo "Running from a child directory with temp/content2.dat"
-../../../yosys -qp "read_verilog -defer ../memory.v; chparam -set MEMFILE \"temp/content2.dat\" memory; synth -top memory"
+../../../yosys -qp "read_verilog -defer ../memory.v; chparam -set MEMFILE \"temp/content2.dat\" memory"
echo "Running from a child directory with content2.dat"
-../../../yosys -qp "read_verilog -defer ../memory.v; chparam -set MEMFILE \"temp/content2.dat\" memory; synth -top memory"
+../../../yosys -qp "read_verilog -defer ../memory.v; chparam -set MEMFILE \"temp/content2.dat\" memory"