aboutsummaryrefslogtreecommitdiffstats
path: root/tests/ecp5/mul.ys
diff options
context:
space:
mode:
authorSergeyDegtyar <sndegtyar@gmail.com>2019-08-28 09:47:03 +0300
committerSergeyDegtyar <sndegtyar@gmail.com>2019-08-28 09:47:03 +0300
commit2270ead09fb4695442c66fe5c06445235f390f2b (patch)
tree31d55d4e9a9b8af8ca515777af28df492e86f2af /tests/ecp5/mul.ys
parent980830f7b82f2a974f43580f61e917f99fbb4e7e (diff)
downloadyosys-2270ead09fb4695442c66fe5c06445235f390f2b.tar.gz
yosys-2270ead09fb4695442c66fe5c06445235f390f2b.tar.bz2
yosys-2270ead09fb4695442c66fe5c06445235f390f2b.zip
Add tests for ecp5
Diffstat (limited to 'tests/ecp5/mul.ys')
-rw-r--r--tests/ecp5/mul.ys11
1 files changed, 11 insertions, 0 deletions
diff --git a/tests/ecp5/mul.ys b/tests/ecp5/mul.ys
new file mode 100644
index 000000000..0e8d6908f
--- /dev/null
+++ b/tests/ecp5/mul.ys
@@ -0,0 +1,11 @@
+read_verilog mul.v
+hierarchy -top top
+equiv_opt -assert -map +/ecp5/cells_sim.v synth_ecp5 # equivalency check
+design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
+cd top # Constrain all select calls below inside the top module
+select -assert-count 6 t:CCU2C
+select -assert-count 46 t:L6MUX21
+select -assert-count 169 t:LUT4
+select -assert-count 72 t:PFUMX
+
+select -assert-none t:CCU2C t:L6MUX21 t:LUT4 t:PFUMX %% t:* %D