aboutsummaryrefslogtreecommitdiffstats
path: root/tests/arch/machxo2/mux.ys
diff options
context:
space:
mode:
authorWilliam D. Jones <thor0505@comcast.net>2020-11-26 22:30:48 -0500
committerMarcelina Koƛcielnicka <mwk@0x04.net>2021-02-23 17:39:58 +0100
commitc7aaa88f5807c7d49346b43b830a9826c52061ee (patch)
treeb330cf8092240bc7aaf57496f71ad2fe5c681e84 /tests/arch/machxo2/mux.ys
parent453904dd0020ed8aacf8319cb90d1f0e05c9daa9 (diff)
downloadyosys-c7aaa88f5807c7d49346b43b830a9826c52061ee.tar.gz
yosys-c7aaa88f5807c7d49346b43b830a9826c52061ee.tar.bz2
yosys-c7aaa88f5807c7d49346b43b830a9826c52061ee.zip
machxo2: Add passing fsm, mux, and shifter tests.
Diffstat (limited to 'tests/arch/machxo2/mux.ys')
-rw-r--r--tests/arch/machxo2/mux.ys40
1 files changed, 40 insertions, 0 deletions
diff --git a/tests/arch/machxo2/mux.ys b/tests/arch/machxo2/mux.ys
new file mode 100644
index 000000000..0cfc365bd
--- /dev/null
+++ b/tests/arch/machxo2/mux.ys
@@ -0,0 +1,40 @@
+read_verilog ../common/mux.v
+design -save read
+
+hierarchy -top mux2
+proc
+equiv_opt -assert -map +/machxo2/cells_sim.v synth_machxo2 # equivalency check
+design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
+cd mux2 # Constrain all select calls below inside the top module
+select -assert-count 1 t:LUT4
+select -assert-none t:LUT4 t:FACADE_IO %% t:* %D
+
+design -load read
+hierarchy -top mux4
+proc
+equiv_opt -assert -map +/machxo2/cells_sim.v synth_machxo2 # equivalency check
+design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
+cd mux4 # Constrain all select calls below inside the top module
+select -assert-count 2 t:LUT4
+
+select -assert-none t:LUT4 t:FACADE_IO %% t:* %D
+
+design -load read
+hierarchy -top mux8
+proc
+equiv_opt -assert -map +/machxo2/cells_sim.v synth_machxo2 # equivalency check
+design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
+cd mux8 # Constrain all select calls below inside the top module
+select -assert-count 5 t:LUT4
+
+select -assert-none t:LUT4 t:FACADE_IO %% t:* %D
+
+design -load read
+hierarchy -top mux16
+proc
+equiv_opt -assert -map +/machxo2/cells_sim.v synth_machxo2 # equivalency check
+design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
+cd mux16 # Constrain all select calls below inside the top module
+select -assert-count 12 t:LUT4
+
+select -assert-none t:LUT4 t:FACADE_IO %% t:* %D