diff options
author | Pepijn de Vos <pepijndevos@gmail.com> | 2019-11-16 12:43:17 +0100 |
---|---|---|
committer | Pepijn de Vos <pepijndevos@gmail.com> | 2019-11-16 12:43:17 +0100 |
commit | 32f0296df1b97ff5b3bcc442ac38f27a786947d6 (patch) | |
tree | 72ec224a90bb5a40e007a88fe37085dcc786a0e0 /tests/arch/ice40/fsm.ys | |
parent | ab8c521030a2c91a1e388d6f3c627a7f7dd525b2 (diff) | |
parent | 51e4e29bb1f7c030b0cac351c522dc41f7587be2 (diff) | |
download | yosys-32f0296df1b97ff5b3bcc442ac38f27a786947d6.tar.gz yosys-32f0296df1b97ff5b3bcc442ac38f27a786947d6.tar.bz2 yosys-32f0296df1b97ff5b3bcc442ac38f27a786947d6.zip |
Merge branch 'master' of https://github.com/YosysHQ/yosys into gowin
Diffstat (limited to 'tests/arch/ice40/fsm.ys')
-rw-r--r-- | tests/arch/ice40/fsm.ys | 13 |
1 files changed, 8 insertions, 5 deletions
diff --git a/tests/arch/ice40/fsm.ys b/tests/arch/ice40/fsm.ys index 5aacc6c73..223ba070e 100644 --- a/tests/arch/ice40/fsm.ys +++ b/tests/arch/ice40/fsm.ys @@ -2,12 +2,15 @@ read_verilog ../common/fsm.v hierarchy -top fsm proc flatten -equiv_opt -assert -map +/ice40/cells_sim.v synth_ice40 # equivalency check + +equiv_opt -run :prove -map +/ice40/cells_sim.v synth_ice40 +miter -equiv -make_assert -flatten gold gate miter +sat -verify -prove-asserts -show-public -set-at 1 in_reset 1 -seq 20 -prove-skip 1 miter + design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design) cd fsm # Constrain all select calls below inside the top module +select -assert-count 4 t:SB_DFF select -assert-count 2 t:SB_DFFESR -select -assert-count 2 t:SB_DFFSR -select -assert-count 1 t:SB_DFFSS -select -assert-count 13 t:SB_LUT4 -select -assert-none t:SB_DFFESR t:SB_DFFSR t:SB_DFFSS t:SB_LUT4 %% t:* %D +select -assert-count 15 t:SB_LUT4 +select -assert-none t:SB_DFFESR t:SB_DFF t:SB_LUT4 %% t:* %D |