aboutsummaryrefslogtreecommitdiffstats
path: root/tests/arch/gatemate/shifter.ys
diff options
context:
space:
mode:
authorPatrick Urban <patrick.urban@web.de>2021-09-24 21:50:26 +0200
committerMarcelina Koƛcielnicka <mwk@0x04.net>2021-11-13 21:53:25 +0100
commitacb993b27b7027112bf10796efbf2886815f8630 (patch)
tree6dbc12ce01fc730770eedfbff7131374b299b5cc /tests/arch/gatemate/shifter.ys
parent0a72952d5f259a23f9d1122d936d3d4d60ce224d (diff)
downloadyosys-acb993b27b7027112bf10796efbf2886815f8630.tar.gz
yosys-acb993b27b7027112bf10796efbf2886815f8630.tar.bz2
yosys-acb993b27b7027112bf10796efbf2886815f8630.zip
Allow initial blocks to be disabled during tests
Wrap initial blocks with a NO_INIT so that tests for archs without register initialization feature don't fail.
Diffstat (limited to 'tests/arch/gatemate/shifter.ys')
-rw-r--r--tests/arch/gatemate/shifter.ys2
1 files changed, 1 insertions, 1 deletions
diff --git a/tests/arch/gatemate/shifter.ys b/tests/arch/gatemate/shifter.ys
index 03df36ebc..0006a298a 100644
--- a/tests/arch/gatemate/shifter.ys
+++ b/tests/arch/gatemate/shifter.ys
@@ -1,4 +1,4 @@
-read_verilog ../common/shifter.v
+read_verilog -D NO_INIT ../common/shifter.v
hierarchy -top top
proc
flatten