aboutsummaryrefslogtreecommitdiffstats
path: root/tests/arch/ecp5
diff options
context:
space:
mode:
authorEddie Hung <eddie@fpgeh.com>2019-12-12 17:44:37 -0800
committerEddie Hung <eddie@fpgeh.com>2019-12-12 17:44:37 -0800
commitcaab66111e2b5052bd26c8fd64b1324e7e4a4106 (patch)
treec6acd63874940ba0ff1176577833cef4bce794a7 /tests/arch/ecp5
parent9ab1feeaf11adb6b675ac4034e246cb137d07db9 (diff)
downloadyosys-caab66111e2b5052bd26c8fd64b1324e7e4a4106.tar.gz
yosys-caab66111e2b5052bd26c8fd64b1324e7e4a4106.tar.bz2
yosys-caab66111e2b5052bd26c8fd64b1324e7e4a4106.zip
Rename memory tests to lutram, add more xilinx tests
Diffstat (limited to 'tests/arch/ecp5')
-rw-r--r--tests/arch/ecp5/lutram.ys (renamed from tests/arch/ecp5/memory.ys)6
1 files changed, 3 insertions, 3 deletions
diff --git a/tests/arch/ecp5/memory.ys b/tests/arch/ecp5/lutram.ys
index c82b7b405..e1ae7abd5 100644
--- a/tests/arch/ecp5/memory.ys
+++ b/tests/arch/ecp5/lutram.ys
@@ -1,5 +1,5 @@
-read_verilog ../common/memory.v
-hierarchy -top top
+read_verilog ../common/lutram.v
+hierarchy -top lutram_1w1r
proc
memory -nomap
equiv_opt -run :prove -map +/ecp5/cells_sim.v synth_ecp5
@@ -10,7 +10,7 @@ miter -equiv -flatten -make_assert -make_outputs gold gate miter
sat -verify -prove-asserts -seq 5 -set-init-zero -show-inputs -show-outputs miter
design -load postopt
-cd top
+cd lutram_1w1r
select -assert-count 24 t:L6MUX21
select -assert-count 71 t:LUT4
select -assert-count 32 t:PFUMX