aboutsummaryrefslogtreecommitdiffstats
path: root/tests/arch/ecp5/memories.ys
diff options
context:
space:
mode:
authorEddie Hung <eddie@fpgeh.com>2020-04-20 15:42:29 -0700
committerEddie Hung <eddie@fpgeh.com>2020-04-20 15:42:29 -0700
commit38ee59184ca50b37b4adacb957f553391b7769d4 (patch)
treeef52338f998c063b3489b7963637459bb428dfef /tests/arch/ecp5/memories.ys
parentc506da3819613fcfa5c0cfe73865ca0bacadb52c (diff)
downloadyosys-38ee59184ca50b37b4adacb957f553391b7769d4.tar.gz
yosys-38ee59184ca50b37b4adacb957f553391b7769d4.tar.bz2
yosys-38ee59184ca50b37b4adacb957f553391b7769d4.zip
tests: remove write_ilang
Diffstat (limited to 'tests/arch/ecp5/memories.ys')
-rw-r--r--tests/arch/ecp5/memories.ys2
1 files changed, 0 insertions, 2 deletions
diff --git a/tests/arch/ecp5/memories.ys b/tests/arch/ecp5/memories.ys
index e1f748e26..f55bf01d2 100644
--- a/tests/arch/ecp5/memories.ys
+++ b/tests/arch/ecp5/memories.ys
@@ -208,7 +208,6 @@ select -assert-count 1 t:PDPW16KD
design -reset; read_verilog ../common/blockrom.v
chparam -set ADDRESS_WIDTH 3 -set DATA_WIDTH 36 sync_rom
-write_ilang
synth_ecp5 -top sync_rom; cd sync_rom
select -assert-count 0 t:PDPW16KD # too inefficient
select -assert-min 18 t:LUT4
@@ -274,7 +273,6 @@ select -assert-count 1 t:DP16KD
design -reset; read_verilog ../common/blockrom.v
chparam -set ADDRESS_WIDTH 3 -set DATA_WIDTH 18 sync_rom
-write_ilang
synth_ecp5 -top sync_rom; cd sync_rom
select -assert-count 0 t:DP16KD # too inefficient
select -assert-min 9 t:LUT4