aboutsummaryrefslogtreecommitdiffstats
path: root/tests/arch/common
diff options
context:
space:
mode:
authorDiego H <diego@symbioticeda.com>2019-12-12 13:50:36 -0600
committerDiego H <diego@symbioticeda.com>2019-12-12 13:50:36 -0600
commit937ec1ee78e5470c148d8c39387c7a80711af8a7 (patch)
tree37859963ab6aec9dbe2b75635cb8087130c19c29 /tests/arch/common
parentab6ac8327f28b2ba9530c81cdbb5091a1ef91032 (diff)
downloadyosys-937ec1ee78e5470c148d8c39387c7a80711af8a7.tar.gz
yosys-937ec1ee78e5470c148d8c39387c7a80711af8a7.tar.bz2
yosys-937ec1ee78e5470c148d8c39387c7a80711af8a7.zip
Updating RAMB36E1 thresholds. Adding test for both RAMB18E1/RAMB36E1
Diffstat (limited to 'tests/arch/common')
-rw-r--r--tests/arch/common/memory_params.v45
1 files changed, 45 insertions, 0 deletions
diff --git a/tests/arch/common/memory_params.v b/tests/arch/common/memory_params.v
new file mode 100644
index 000000000..dbc6ca65c
--- /dev/null
+++ b/tests/arch/common/memory_params.v
@@ -0,0 +1,45 @@
+`default_nettype none
+module sync_ram_sp #(parameter DATA_WIDTH=8, ADDRESS_WIDTH=10)
+ (input wire write_enable, clk,
+ input wire [DATA_WIDTH-1:0] data_in,
+ input wire [ADDRESS_WIDTH-1:0] address_in,
+ output wire [DATA_WIDTH-1:0] data_out);
+
+ localparam WORD = (DATA_WIDTH-1);
+ localparam DEPTH = (2**ADDRESS_WIDTH-1);
+
+ reg [WORD:0] data_out_r;
+ reg [WORD:0] memory [0:DEPTH];
+
+ always @(posedge clk) begin
+ if (write_enable)
+ memory[address_in] <= data_in;
+ data_out_r <= memory[address_in];
+ end
+
+ assign data_out = data_out_r;
+endmodule // sync_ram_sp
+
+
+`default_nettype none
+module sync_ram_sdp #(parameter DATA_WIDTH=8, ADDRESS_WIDTH=10)
+ (input wire clk, write_enable,
+ input wire [DATA_WIDTH-1:0] data_in,
+ input wire [ADDRESS_WIDTH-1:0] address_in_r, address_in_w,
+ output wire [DATA_WIDTH-1:0] data_out);
+
+ localparam WORD = (DATA_WIDTH-1);
+ localparam DEPTH = (2**ADDRESS_WIDTH-1);
+
+ reg [WORD:0] data_out_r;
+ reg [WORD:0] memory [0:DEPTH];
+
+ always @(posedge clk) begin
+ if (write_enable)
+ memory[address_in_w] <= data_in;
+ data_out_r <= memory[address_in_r];
+ end
+
+ assign data_out = data_out_r;
+endmodule // sync_ram_sdp
+