aboutsummaryrefslogtreecommitdiffstats
path: root/tests/anlogic/memory.v
diff options
context:
space:
mode:
authorMiodrag Milanović <mmicko@gmail.com>2019-10-18 10:54:28 +0200
committerGitHub <noreply@github.com>2019-10-18 10:54:28 +0200
commitb4d765054897f7ee388b54d907fd8ce607db2d58 (patch)
treea625838a0efbfb0176a57887c208467a7addd0a6 /tests/anlogic/memory.v
parentb659082e4a72209af62a19668800bb6334a437d7 (diff)
parentab4899a2d02b994d79e4aa223eb743793b9a60b3 (diff)
downloadyosys-b4d765054897f7ee388b54d907fd8ce607db2d58.tar.gz
yosys-b4d765054897f7ee388b54d907fd8ce607db2d58.tar.bz2
yosys-b4d765054897f7ee388b54d907fd8ce607db2d58.zip
Merge branch 'master' into mmicko/efinix
Diffstat (limited to 'tests/anlogic/memory.v')
-rw-r--r--tests/anlogic/memory.v21
1 files changed, 21 insertions, 0 deletions
diff --git a/tests/anlogic/memory.v b/tests/anlogic/memory.v
new file mode 100644
index 000000000..cb7753f7b
--- /dev/null
+++ b/tests/anlogic/memory.v
@@ -0,0 +1,21 @@
+module top
+(
+ input [7:0] data_a,
+ input [6:1] addr_a,
+ input we_a, clk,
+ output reg [7:0] q_a
+);
+ // Declare the RAM variable
+ reg [7:0] ram[63:0];
+
+ // Port A
+ always @ (posedge clk)
+ begin
+ if (we_a)
+ begin
+ ram[addr_a] <= data_a;
+ q_a <= data_a;
+ end
+ q_a <= ram[addr_a];
+ end
+endmodule