aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs/xilinx/cells_xtra.sh
diff options
context:
space:
mode:
authorEddie Hung <eddieh@ece.ubc.ca>2019-04-03 08:14:09 -0700
committerEddie Hung <eddieh@ece.ubc.ca>2019-04-03 08:14:09 -0700
commitff385a5ad0570cb56ae63d450e1dcba76ffaff7e (patch)
tree2cf3438fd64e6470bffcf49370536f225645836d /techlibs/xilinx/cells_xtra.sh
parent88630cd02cfb7cb124c949777280b60f66ee5eb5 (diff)
downloadyosys-ff385a5ad0570cb56ae63d450e1dcba76ffaff7e.tar.gz
yosys-ff385a5ad0570cb56ae63d450e1dcba76ffaff7e.tar.bz2
yosys-ff385a5ad0570cb56ae63d450e1dcba76ffaff7e.zip
Remove duplicate STARTUPE2
Diffstat (limited to 'techlibs/xilinx/cells_xtra.sh')
-rw-r--r--techlibs/xilinx/cells_xtra.sh1
1 files changed, 0 insertions, 1 deletions
diff --git a/techlibs/xilinx/cells_xtra.sh b/techlibs/xilinx/cells_xtra.sh
index 3f8efeebd..c23e67029 100644
--- a/techlibs/xilinx/cells_xtra.sh
+++ b/techlibs/xilinx/cells_xtra.sh
@@ -137,7 +137,6 @@ function xtract_cell_decl()
xtract_cell_decl ROM64X1
#xtract_cell_decl SRL16E
#xtract_cell_decl SRLC32E
- xtract_cell_decl STARTUPE2
xtract_cell_decl STARTUPE2 "(* keep *)"
xtract_cell_decl USR_ACCESSE2
xtract_cell_decl XADC