aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs/xilinx/cells_xtra.sh
diff options
context:
space:
mode:
authorEddie Hung <eddie@fpgeh.com>2019-04-20 10:41:43 -0700
committerEddie Hung <eddie@fpgeh.com>2019-04-20 10:41:43 -0700
commit13ad19482f2b81a21d0e374a030cb466ed7afb55 (patch)
tree87050e358eb62f0363c1f4028fea4038a3fa52e7 /techlibs/xilinx/cells_xtra.sh
parent6797f6b6c4660622dbde27ced83fdd37a874f00d (diff)
parente3687f6f4e10789223213949b8490bd83ec285f2 (diff)
downloadyosys-13ad19482f2b81a21d0e374a030cb466ed7afb55.tar.gz
yosys-13ad19482f2b81a21d0e374a030cb466ed7afb55.tar.bz2
yosys-13ad19482f2b81a21d0e374a030cb466ed7afb55.zip
Merge remote-tracking branch 'origin' into xc7srl
Diffstat (limited to 'techlibs/xilinx/cells_xtra.sh')
-rw-r--r--techlibs/xilinx/cells_xtra.sh6
1 files changed, 3 insertions, 3 deletions
diff --git a/techlibs/xilinx/cells_xtra.sh b/techlibs/xilinx/cells_xtra.sh
index c23e67029..8e39b440d 100644
--- a/techlibs/xilinx/cells_xtra.sh
+++ b/techlibs/xilinx/cells_xtra.sh
@@ -28,12 +28,12 @@ function xtract_cell_decl()
# xtract_cell_decl BUFG
xtract_cell_decl BUFGCE
xtract_cell_decl BUFGCE_1
- xtract_cell_decl BUFGCTRL
+ #xtract_cell_decl BUFGCTRL
xtract_cell_decl BUFGMUX
xtract_cell_decl BUFGMUX_1
xtract_cell_decl BUFGMUX_CTRL
xtract_cell_decl BUFH
- xtract_cell_decl BUFHCE
+ #xtract_cell_decl BUFHCE
xtract_cell_decl BUFIO
xtract_cell_decl BUFMR
xtract_cell_decl BUFMRCE
@@ -92,7 +92,7 @@ function xtract_cell_decl()
# xtract_cell_decl LUT4
# xtract_cell_decl LUT5
# xtract_cell_decl LUT6
- xtract_cell_decl LUT6_2
+ #xtract_cell_decl LUT6_2
xtract_cell_decl MMCME2_ADV
xtract_cell_decl MMCME2_BASE
# xtract_cell_decl MUXF7