aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs/intel/max10
diff options
context:
space:
mode:
authorLarry Doolittle <ldoolitt@recycle.lbl.gov>2017-10-04 17:01:30 -0700
committerClifford Wolf <clifford@clifford.at>2017-10-05 16:23:49 +0200
commit50bcd9a728ff89f220873b3345c4e18a65c4a37f (patch)
treeff7b306d49b46ec25b7bfac26ca9ace82302a3f0 /techlibs/intel/max10
parentfc3378916dbaf46018a99571ef190189088c225c (diff)
downloadyosys-50bcd9a728ff89f220873b3345c4e18a65c4a37f.tar.gz
yosys-50bcd9a728ff89f220873b3345c4e18a65c4a37f.tar.bz2
yosys-50bcd9a728ff89f220873b3345c4e18a65c4a37f.zip
Clean whitespace and permissions in techlibs/intel
Diffstat (limited to 'techlibs/intel/max10')
-rw-r--r--[-rwxr-xr-x]techlibs/intel/max10/cells_arith.v8
-rw-r--r--[-rwxr-xr-x]techlibs/intel/max10/cells_map.v4
-rw-r--r--[-rwxr-xr-x]techlibs/intel/max10/cells_sim.v28
3 files changed, 20 insertions, 20 deletions
diff --git a/techlibs/intel/max10/cells_arith.v b/techlibs/intel/max10/cells_arith.v
index 9ef073f7c..e2194cbd7 100755..100644
--- a/techlibs/intel/max10/cells_arith.v
+++ b/techlibs/intel/max10/cells_arith.v
@@ -45,10 +45,10 @@ module _80_altera_max10_alu (A, B, CI, BI, X, Y, CO);
//wire [Y_WIDTH:0] C = {CO, CI};
wire [Y_WIDTH+1:0] COx;
wire [Y_WIDTH+1:0] C = {COx, CI};
-
+
/* Start implementation */
(* keep *) fiftyfivenm_lcell_comb #(.lut_mask(16'b0000_0000_1010_1010), .sum_lutc_input("cin")) carry_start (.cout(COx[0]), .dataa(C[0]), .datab(1'b1), .datac(1'b1), .datad(1'b1));
-
+
genvar i;
generate for (i = 0; i < Y_WIDTH; i = i + 1) begin: slice
if(i==Y_WIDTH-1) begin
@@ -61,5 +61,5 @@ module _80_altera_max10_alu (A, B, CI, BI, X, Y, CO);
endgenerate
/* End implementation */
assign X = AA ^ BB;
-
-endmodule
+
+endmodule
diff --git a/techlibs/intel/max10/cells_map.v b/techlibs/intel/max10/cells_map.v
index b74007ff8..9229fae51 100755..100644
--- a/techlibs/intel/max10/cells_map.v
+++ b/techlibs/intel/max10/cells_map.v
@@ -39,7 +39,7 @@ module \$_DFF_PP0_ (input D, C, R, output Q);
dffeas #(.is_wysiwyg(WYSIWYG)) _TECHMAP_REPLACE_ (.d(D), .q(Q), .clk(C), .clrn(R_i), .prn(1'b1), .ena(1'b1), .asdata(1'b0), .aload(1'b0), .sclr(1'b0), .sload(1'b0));
endmodule
-module \$__DFFE_PP0 (input D, C, E, R, output Q);
+module \$__DFFE_PP0 (input D, C, E, R, output Q);
parameter WYSIWYG="TRUE";
wire E_i = ~ E;
dffeas #(.is_wysiwyg(WYSIWYG)) _TECHMAP_REPLACE_ (.d(D), .q(Q), .clk(C), .clrn(R), .prn(1'b1), .ena(1'b1), .asdata(1'b0), .aload(1'b0), .sclr(E_i), .sload(1'b0));
@@ -62,7 +62,7 @@ module \$lut (A, Y);
parameter WIDTH = 0;
parameter LUT = 0;
input [WIDTH-1:0] A;
- output Y;
+ output Y;
generate
if (WIDTH == 1) begin
assign Y = ~A[0]; // Not need to spend 1 logic cell for such an easy function
diff --git a/techlibs/intel/max10/cells_sim.v b/techlibs/intel/max10/cells_sim.v
index 29dd8fce4..98673596b 100755..100644
--- a/techlibs/intel/max10/cells_sim.v
+++ b/techlibs/intel/max10/cells_sim.v
@@ -26,21 +26,21 @@ module GND (output G);
endmodule // GND
/* Altera MAX10 devices Input Buffer Primitive */
-module fiftyfivenm_io_ibuf
+module fiftyfivenm_io_ibuf
(output o, input i, input ibar);
assign ibar = ibar;
assign o = i;
endmodule // fiftyfivenm_io_ibuf
/* Altera MAX10 devices Output Buffer Primitive */
-module fiftyfivenm_io_obuf
+module fiftyfivenm_io_obuf
(output o, input i, input oe);
assign o = i;
assign oe = oe;
endmodule // fiftyfivenm_io_obuf
/* Altera MAX10 4-input non-fracturable LUT Primitive */
-module fiftyfivenm_lcell_comb
+module fiftyfivenm_lcell_comb
(output combout, cout,
input dataa, datab, datac, datad, cin);
@@ -113,7 +113,7 @@ module fiftyfivenm_lcell_comb
endmodule // fiftyfivenm_lcell_comb
/* Altera D Flip-Flop Primitive */
-module dffeas
+module dffeas
(output q,
input d, clk, clrn, prn, ena,
input asdata, aload, sclr, sload);
@@ -125,7 +125,7 @@ module dffeas
reg q_tmp;
wire reset;
reg [7:0] debug_net;
-
+
assign reset = (prn && sclr && ~clrn && ena);
assign q = q_tmp & 1'b1;
@@ -134,7 +134,7 @@ module dffeas
else q_tmp <= d;
end
assign q = q_tmp;
-
+
endmodule // dffeas
/* MAX10 altpll clearbox model */
@@ -175,9 +175,9 @@ module fiftyfivenm_pll
parameter bandwidth = 0;
parameter bandwidth_type = "auto";
parameter use_dc_coupling = "false";
- parameter lock_high = 0;
- parameter lock_low = 0;
- parameter lock_window_ui = "0.05";
+ parameter lock_high = 0;
+ parameter lock_low = 0;
+ parameter lock_window_ui = "0.05";
parameter test_bypass_lock_detect = "off";
parameter clk0_output_frequency = 0;
parameter clk0_multiply_by = 0;
@@ -256,16 +256,16 @@ module fiftyfivenm_pll
parameter c4_test_source = -1;
parameter vco_multiply_by = 0;
parameter vco_divide_by = 0;
- parameter vco_post_scale = 1;
+ parameter vco_post_scale = 1;
parameter vco_frequency_control = "auto";
parameter vco_phase_shift_step = 0;
parameter charge_pump_current = 10;
- parameter loop_filter_r = "1.0";
- parameter loop_filter_c = 0;
+ parameter loop_filter_r = "1.0";
+ parameter loop_filter_c = 0;
parameter pll_compensation_delay = 0;
parameter lpm_type = "fiftyfivenm_pll";
parameter phase_counter_select_width = 3;
-
+
input [1:0] inclk;
input fbin;
input clkswitch;
@@ -288,5 +288,5 @@ module fiftyfivenm_pll
output phasedone;
output vcooverrange;
output vcounderrange;
-
+
endmodule // cycloneive_pll